当前位置:首页 » 编程软件 » 可编程逻辑器件课程设计

可编程逻辑器件课程设计

发布时间: 2023-02-15 13:23:34

‘壹’ QUARTUS可编程逻辑器件课程设计:出租车计价器设计

纯数字设计指的大多是74系列那样的通用芯片,有点是组建方便,简单系统成本低,是可编程逻辑器件的基础,早期单板机就用这个,现在led光屏也有很多,缺点是复杂应用成本高,设计复杂,功耗大,部分效率较低。PLD成本高,但是功耗较低,另外较稳定易维护,方便量产。设计开发过程随着技术的进步也越来越简便快捷。单片机功耗低应用灵活,但是执行并行任务效率低。不过一般的应用对实时性要求不高,所以应用特别特别特别广泛…收发器,收音机,充电器,显示屏,无所不在,而且沾了单片机也能显得高端不少(其实不止是显得,因为软件部分很能显示出设计者的水平)个人见解,有不全面的楼下补充

‘贰’ 单片机原理与应用,可编程逻辑器件及应用学哪一个比较好

通信的话学可编程逻辑器件好点,可编程逻辑器件现在主要是指FPGA,速度可不是单片机能够相比的,现在我们做通信原理的课程设计主要就是用FPGA做的。不过单片机也很重要,因为FPGA的缺点是运算能力强,但控制能力稍微弱了点,单片机刚好相反。建议稿通信的话用FPGA+AVR

‘叁’ 请高人解释一下集成电路设计中 可编程逻辑器件设计(PLD)和现场可编程逻辑阵列设计(FPGA)和两者的区别

CPLD(Complex Programmable Logic Device)是Complex PLD的简称,一种较PLD为复杂的逻辑元件。
CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。

发展历史及应用领域:
20世纪70年代,最早的可编程逻辑器件--PLD诞生了。其输出结构是可编程的逻辑宏单元,因为它的硬件结构
设计可由软件完成(相当于房子盖好后人工设计局部室内结构),因而它的设计比纯硬件的数字电路具有很强的灵活性,但其过于简单的结构也使它们只能实现规模较小的电路。为弥补PLD只能设计小规模电路这一缺陷,20世纪80年代中期,推出了复杂可编程逻辑器件--CPLD。目前应用已深入网络、仪器仪表、汽车电子、数控机床、航天测控设备等方面。

器件特点:
它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电子工程师必备的一种技能。

如何使用:
CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。

这里以抢答器为例讲一下它的设计(装修)过程,即芯片的设计流程。CPLD的工作大部分是在电脑上完成的。打开集成开发软件(Altera公司 Max+pluxII)→画原理图、写硬件描述语言(VHDL,Verilog)→编译→给出逻辑电路的输入激励信号,进行仿真,查看逻辑输出结果是否正确→进行管脚输入、输出锁定(7128的64个输入、输出管脚可根据需要设定)→生成代码→通过下载电缆将代码传送并存储在CPLD芯片中。7128这块芯片各管脚已引出,将数码管、抢答开关、指示灯、蜂鸣器通过导线分别接到芯片板上,通电测试,当抢答开关按下,对应位的指示灯应当亮,答对以后,裁判给加分后,看此时数码显示加分结果是否正确,如发现有问题,可重新修改原理图或硬件描述语言,完善设计。设计好后,如批量生产,可直接复制其他CPLD芯片,即写入代码即可。如果要对芯片进行其它设计,比如进行交通灯设计,要重新画原理图、或写硬件描述语言,重复以上工作过程,完成设计。这种修改设计相当于将房屋进行了重新装修,这种装修对CPLD来说可进行上万次。

FPGA是Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA诞生于1985年,当时第一个FPGA采用2μm工艺,包含64个逻辑模块和85000个晶体管,门数量不超过1000个,由名为Ross Freema所发明,当时他所创造的FPGA被认为是一个不切实际发明,他的同事Bill Carter曾说:“这种理念需要很多晶体管,并且那时晶体管是非常珍贵的东西。”,所以人们认为Ross的想法过于脱离现实。但是Ross预计:根据摩尔定律(每18个月晶体管密度翻一翻),晶体管肯定会越来越便宜,因此它就越来越常见。在短短的几年内,正如Ross所预言的,出现了数十亿美元的现场可编程门阵列(FPGA)市场。但是可惜的是,他无法享受这一派欣欣向荣的景象,在1989年Ross Freeman就与世长辞了,但是它的发明却持续不断地促进电子行业的进步与发展。

我们都知道构成数字逻辑系统最基本的单元是与门、或门、非门等,而他们都是用三极管、二极管和电阻等元件构成,然后与门、或门、非门又构成了各种触发器,实现状态记忆,FPGA属于数字逻辑电路的一种,同样由这些最基本的元件构成。一个FPGA可以将上亿个门电路组合在一起,集成在一个芯片内,打破以往需要用庞大分立门电路元器件搭建的历史,不仅电路面积、成本大大减小,而且可靠性得到了大幅度的提升。

一般的FPGA内部是由最小的物理逻辑单位LE、布线网络、输入输出模块以及片内外设组成,所谓的最小物理逻辑单元是指用户无法修改的、固定的最小的单元,我们只能将这些单元通过互联线将其连接起来,然后实现用户特定的功能。一个LE由触发器、LUT以及控制逻辑组成,可以实现组合逻辑和时序逻辑;随着FPGA集成度的不断增加,其内部的片内外设也越来越多,内部可集成SRAM、Flash、AD、RTC等外设,真正实现单芯片解决整个系统功能的目的。所以我们所理解的FPGA最底层是一些实实在在的门电路构成,然后由门电路构成最小的物理逻辑单元,然后通过布线层将这些最小物理逻辑单元连接成用户需要的特定功能,我们所需要控制的是布线层之间的互连开关,这也是我们编程的对象,通过这些开关来改变功能。

当今的FGPA按工艺分主要有SRAM工艺和Flash工艺(工艺是针对它们的编程开关来说的)两类,SRAM工艺的FPGA最大的特点是掉电数据会丢失,无法保存,所以它们的系统除了一个FPGA以外,外部还需要增加一个配置芯片用于保存编程数据,每次上电的时候都需要从这个配置芯片将配置数据流加载到FPGA,然后才能正常的运行;但是Flash架构的FPGA掉电不会丢失数据,无需配置芯片,上电即可运行,它的特点非常类似ASIC,但是又比ASIC更加的灵活,可以重复编程。在一些小规模的公司或者产品量不是很大的时候往往更倾向于用FPGA来取代ASIC,不仅能够降低风险,而且能够降低成本。

1.2 为什么要学习FPGA?

FPGA从诞生以来,经历了从配角到主角的过程,从初期开发应用到限量生产应用再到大批量生产应用的发展历程。从技术上来说,最初只是逻辑器件,现在强调平台概念,加入数字信号处理、嵌入式处理、高速串行和其他高端技术,从而被应用到更多的领域,正因为其飞速的发展,让更多学FPGA的人看到了希望,其广阔的前景正是我们选择的原因之一。

1. 广阔的发展前景

据市场调研公司Gartner Dataquest预测,2010年FPGA和其它可编程逻辑器件(PLD)市场将从2005年的32亿美元增长到67亿美元,未来还将有不断往上增长的趋势。FPGA及PLD产业发展的最大机遇是替代ASIC和专用标准产品(ASSP),由ASIC和ASSP构成的数字逻辑市场规模大约为350亿美元。由于用户可以迅速对PLD进行编程,按照需求实现特殊功能,与ASIC和ASSP相比,PLD在灵活性、开发成本以及产品及时面市方面更具优势,所以未来FPGA将会是一个非常有前景的行业。

FPGA由于其结构的特殊性,可以重复编程,开发周期较短,越来越受人们的青睐,它的特点也更接近ASIC,ASIC比FPGA最大的优势是低成本,但是FPGA的价格现在也是越来越低,例如:Actel的Nano系列更是打破了FPGA的价格屏障,提供超过50种低于1美金的FPGA,在一定程度上已经可以与ASIC相抗衡。

根据当前发展的趋势,未来的FPGA势必将会取代一部分ASIC的市场,虽然根据摩尔定律(Moore’s Law):每18至24个月能在相同的单位面积内多挤入一倍的晶体管数,这意味着电路成本每18至24个月就可以减半,但这只是指裸晶(Die)的成本,并不表示整个芯片的成本减半,这是由于晶圆制造更前端的掩膜(Mask)成本、晶圆制造更后端的封装(也称为:构装、包装)成本、人力成本等都不会随摩尔定律而变化,反而芯片的成本有上升的趋势,所以过去许多中、小用量的芯片无法用先进的工艺来生产,对此不是持续使用旧工艺来生产,就是必须改用FPGA芯片来生产……

因此,未来的趋势告诉我们,FPGA将成为21世纪最重要的高科技产业之一,特别是国内的FPGA市场,更是一个“未开垦的处女地”,抓住现在的机遇意味着为我们将来的产品提供更多的竞争力。

2. 提供更多就业机会
虽然FPGA市场的广阔,但是FPGA的技术人员是极度地缺乏,其原因是还未得到高校的重视,很多学校都未开FPGA的课程,导致学生毕业后连什么是FPGA,什么是Verilog都不知道,失去了很多就业的机会。我们公司(广州单片机发展有限公司)这三年来跑遍了全国22个城市,每次宣讲会场里场外都站满了人,每个学生都渴望寻找一份好工作的心情由此可见一斑,但通过考试发现懂FPGA和Verilog的学生却寥寥无几,尽管我们每年都对招聘FPGA人才寄予了很大的希望,但每次都失望而归,深深地体会到招聘FPGA开发工程师困难重重。

由此可见在应届毕业生中熟练掌握FPGA的学生属于稀缺资源,然而企业为培养FPGA开发工程师无不付出沉重的代价,所以对于在校电类专业的学生来说,这就是打造个人差异化竞争力的机会,事实上只要掌握FPGA就能够找到一份薪水更好的工作。我们公司每次在考核员工时往往都会特别关注这些“特殊员工”的情况,一般来说这些员工的工作都会比其它岗位高500元,这就是学习FPGA的优势,但是很多人不曾完全意识到掌握FPGA技术的重要性。

当前受金融危机的影响,对学生的就业更是巨大的考验,据教育部的统计,2008年,全国普通高校毕业生达559万人,比2007年增加64万人,2009年高校毕业生规模达到611万人,比2008年增加52万人,如此多的大学生面临着就业的问题,如果不具备一定的技能,将会淹没在大学生的潮流之中而找不到理想的工作,而学习FPGA可以帮助学生多一技之长,大大提高就业的机会。

3.具有更大的技术扩展空间
我们都知道,以前IC半导体产业一直是国内比较薄弱的产业,与国外的发展步伐相比还差甚远,我们所用的IC大部分都来自欧美地区,国内拥有自主产品的IC技术不多,多半需要引进国外先进的IC设计技术,但是自2000年以来,中国大陆的IC设计企业如雨后春笋般迅速涌现,企业数量5年增加了4倍多,2005年已经达到500多家,销售收入过亿元人民币的设计企业达到17家,其中两家超过5亿元。概括地讲,中国的IC设计公司可以分为四类,第一类是国有IC设计公司,一般是承担政府研发任务的研究所转制后设立;第二类是由系统厂商的设计部门独立出来的IC设计公司;第三类是民营IC设计公司,以海归型为主;最后一类是外资IC设计公司。

所以IC设计也是未来发展的一个重点方向,将会是国家大力扶持的产业之一,而IC的设计人员所必须掌握的是FPGA的技术,在芯片流片之前都是通过FPGA来进行前期的设计验证,用的语言也是FPGA的设计语言,只是在后端的设计中才用到IC设计的特定技术,所以IC设计人员必定是懂得FPGA设计的人,掌握FPGA的技术是通往IC设计殿堂的必经之路,学习FPGA有助于给我们更大的技术扩展空间。

1.3 怎样学FPGA?
既然FPGA对我们如此的重要,那对于初学者的我们又应该如何去学呢?学习一样知识应该有好的老师教导,我们才能更快的掌握,可惜的是大部分的学校未开相关的课程,也缺少相关专业的老师,我们如何能够找到一个捷径或方法帮助我们学会这么极具竞争力的技术,让我们通向成功的殿堂呢?笔者觉得应该需要有步骤,有目的、循序渐进地掌握相关的技术,我们公司从原来的1人的FPGA团队,发展到如今30人左右的FPGA团队,有着一些成长的经历和经验,也希望在此能与大家一起分享。

1. 掌握FPGA编程语言
在学习一门技术之前我们往往从它的编程语言开始,就如学习单片机一样,我们从C语言开始,掌握了C语言,开发单片机就不是什么难事了。学习FPGA也是如此,FPGA的编程语言有两种:VHDL和Verilog,这两种都适合用于FPGA的编程,VHDL比Veirlog早出现,由美国的军方组织开发,在1987年成为了IEEE的标准;Verilog则是由民间一家普通的公司私有财产转化而来,基于其优越性,在1995成为了IEEE标准。VHDL在欧地区应用的较为广泛,而Verilog在中国、美国、日本、台湾等地应用较为广泛,笔者比较推崇的是Verilog,因为它非常易于学习,很类似于C语言,如果具有C语言基础的人,只需要花很少的时间便能掌握Verilog,而VHDL较为抽象,学习需要一段较长的时间。

如果是学生,学习Verilog最好的时期是在大学二年级,与数字电路同步学习,不仅能够理解数字电路实现的方式,更能通过FPGA将数字电路得以实现,笔者发现华中科技大学康华光教授主编的《电子技术基础(数字部分)》非常好,可以说是一本与时俱进的教材,在其中介绍了Verilog语言,并且在每一章的最后一节都介绍了如何使用Verilog建模实现相关数字电路的内容,非常适合大二学习FPGA的学生,本书同样以《电子技术基础(数字部分)》为背景,并与该书进行配套同步,在它的基础上进行了升华和改进,源于它而又高于它,所以也可以同步学习。大三、大四的学生还可以进一步将Verilog进行强化,学习北京航天航空大学的夏宇闻教授编写的《Verilog数字系统设计教程(第二版)》可以比较全面地、详细地掌握Verilog的基本语法,对大二学习的内容进一步的巩固和强化。

如果是其他初学者,可以直接借助《Verilog数字系统设计教程(第二版)》和本书即能对Verilog的语法进行全面的掌握。这是学习FPGA的第一步,也是必不可少的一步。

2. 一个易学易用的硬件平台是成功的一半
除了学习编程语言以外,更重要的是实践,将自己设计的程序能够在真正的FPGA里运行起来,这时我们需要一个硬件平台的支持,然后以前的FPGA硬件平台的价格让很多的初学者望而却步,上千元的价格并不是一般的初学者(特别是学生)能够承受的,而且不易学习。针对这样的现状,也是为了回馈社会,帮助更多想学FPGA又没有经济能力的爱好者,广州周立功单片机发展有限公司开发了一套低成本的FPGA开发套件,售价仅99元,即使是学生也是完全能够承受得起,这款开发套件可以说是根本不赚钱,我们不仅要提供硬件电路,我们还得配套提供一系列教程资料。

过去的一年来,我们一共投入了4位开发工程师围绕EasyFPGA030开展工作,翻译全部开发工具软件技术资料,先自己吃透然后再根据自己的理解、实践和多次讨论,将技术资料通俗化,并且录制了第一个“Actel FPGA快速入门视频教程”供初学者免费下载,便于初学者快速入门,当第一版做出来销售1000套之后,才发现初学者的焊接经验不足,于是又开始设计第二版,这就是目前大家在网站上见到的一体化EasyFPGA030开发学习板。为了能够带给大家最准备、最权威的知识,我们还请了国内第一个EDA创始人之一的夏宇闻教授给我们进行Veirlog的培训,培训完后我们制作一系列Veirlog视频教程和PPT供初学者学习,同样免费提供给大家。同时,我们和夏老师一起共同合作编写了本书,目的是希望能够以最快的速度帮助初学者入门,另外我们还有一个30人的团队全面的提供FPGA的技术支持和售后服务,解决用户的后顾之忧。

所以通过EasyFPGA030的平台学习,不仅节约了前期学习的成本,而且该套件详实的资料使得非常的易用易学,对于初学者来说是一个不可多得的FPGA开发平台。

3. 技术进行巩固和升华
对于初学者来说,有了一定基础后,应该将其继续的巩固和升华,笔者认为竞赛是学生进行验证所学知识很好的舞台,不仅能够锻炼学生的动手能力,而且能够发挥学生的创造力和想象力。

广州周立功公司已经成功举办了两届“Actel杯全国大学生FPGA电子竞赛”,参加的队伍分别是100队和300队,每支队伍都将免费获得价值1480元的一套FPGA开发套件作为竞赛的平台,竞赛完后该套件无需退回,而且设置了最高5000元的奖金,这种举措对公司来说只有投入,很难看到产出,但是我们还坚持做了,主要是想给学生提供施展才华的舞台,让更多的人了解FPGA,学会FPGA,2009年我们又将启动了第三届竞赛,将队伍扩大到1000支,给更多的人提供机会,我们的目标就是要将创新教育实践活动进行到底,培养出一批又一批适合企业发展的人才。

1.4 小结
综上所述,我们只有了解了什么是FPGA,为什么要学习FPGA,怎么学习FPGA后,我们才能非常有目的、有计划的去掌握这门技术,我相信通过我们的共同努力,一定能够培养出一批又一批优秀的FPGA人员。

‘肆’ 用Flash AS做一个布局的练习,1,将器件库的东西拖出来放在右侧的面板中,如果不符合提前设定的位置

EDA在通信行业(电信)的另一种解释是,企业数据架构,EDA给出了一个企业级数据架构的总体视图,并根据电信企业的框架和层次划分的特点。 EDA电子设计自动化(电子设计自动化),在20世纪60年代中期从计算机辅助设计(CAD),计算机辅助制造(CAM),计算机辅助测试(CAT)和计算机辅助工程的概念的发展( CAE)来了。
EDA软件

EDA工具无尽进入该国,并具有广泛影响的EDA软件Multisim7(EWB的最新版本),PSPICE,ORCAD,PCAD,Protel中,Viewlogic导师,图形,新思科技,LSIIogic,Cadence公司,MICROSIM。这些工具有很强的功能一般可以采用多种方式,例如,很多软件都可以进行电路设计和仿真,PCB布局,输出网表文件与第三方软件接口。

(下面的EDA软件,有兴趣的话,老样子^ ^)

以下主要功能或应用程序分为电路设计与仿真工具,PCB设计软件,IC设计软件,PLD设计工具及其它EDA软件,一个简单的描述。

2.1电子电路设计和仿真工具

我们可能已经使用的测试板或其他东西产生一些电子系统做练习。但有时候,我们会发现出来的东西提前了很多的问题,没想到,这样一来就浪费了大量的时间和材料。并增加了产品的开发周期和持续的时间将产品推向市场的产品,使产品失去在市场上的竞争力。没有固定的电烙铁试验板就能知道结果的方式吗?的结论是,有,这是电路设计和模拟技术的。

说到这种技术在电子电路设计和仿真工具,我们不能不提到美国,不能不提到为什么他们的飞机设计有一个高效率的。之前塑造一个中型飞机的设计,从草案的详细设计,风洞试验,然后整个周期大约为10年投入到最后的情节。虽然美国是1年。为什么会有这么大的差距呢?因为大部分的设计是一个虚拟仿真技术,多年来积累的风洞试验参数输入到计算机中,然后通过计算机编程软件写一个虚拟的环境,使它能够自动套用相关公式和调用长期积累的经验参数输入到计算机。因此,只要虚拟风洞的软件测试,不合理的最佳效果,自然的高效率,最后只要重新测试在真实环境中的几次,直到寻找到的形状的飞机仪表数据定型,采用这种方法,从波音747到F16。由经验丰富的专家提供的气动数据,软件开发商IBM,飞行器设计工程师可以简单地使用仿真软件仿真调试就可以了各种计算机平台。同样的,很多事情是如此的相似,从最大到最小,从复杂到简单,甚至包括设计家具和作曲,只是特定的软件内容。事实上,他们发明了第一代的计算机是来此的目的(最初设计用于高效率的火炮和炮弹,以及其它计算密集型设计)。

电子电路设计与仿真工具包括SPICE / PSPICE; multiSIM7; MATLAB; SystemView的MMICAD创业奇兵,爱迪生,蒂娜临明亮的火花等。下面是一个简单的前三个软件。

①SPICE(集成电路重点模拟程序):电路分析和仿真软件是由加州大学发起,在20世纪80年代世界上最广泛使用的电路设计软件在1998年被指定为美国国家标准。在1984年,美国MicroSim公司推出了基于SPICE的的PSPICE(个人SPICE)的微机版本,使用更PSPICE6.2,可以说在同类产品中,它是最强大的模拟和数字电路的混合仿真EDA软件的常用在该国。最后PSPICE9.1版本。它可以是各种电路仿真激励创造,温度和噪声分析,模拟控制,波形输出,数据输出,以及模拟和数字仿真结果显示在同一窗口中,在相同的时间。无论什么样的设备,电路仿真,可以得到精确的仿真结果,你可以创建自己的组件和组件库。

(2)(EWB最新版本的Multisim)软件:交互式图像技术有限公司推出在20世纪结束的电路仿真软件。期Multisim2001相对于其它EDA软件的最新版本Multisim7,目前广泛使用,它具有更视觉交互的接口,特别是其仪表图书馆仪表和操作在实际的实验仪器没有什么不同,但它的模拟 - 数字混合仿真电路却毫不逊色,几乎100%的真正的电路仿真结果和仪器库还提供了万用表,信号发生器,电能表,双踪示波器(Multisim7也有四踪示波器),波特仪(相当实用扫描),数字信号发生器,逻辑分析仪,逻辑转换仪,失真分析仪,频谱分析仪,网络分析仪,仪表和电压表和电流表仪器。此外,还提供了我们日常常见的建模精密元件,如电阻,电容,电感,晶体管,二极管,继电器,可控硅,数码管,等等。模拟集成电路,各种运算放大器,其他常用集成电路。集成电路,数字电路74系列4000系列的集成电路,还支持自制组件。 Multisim7还具有IV分析仪(相当于在现实环境中的晶体管曲线示踪剂)和安捷伦信号发生器,安捷伦万用表,安捷伦示波器和动态逻辑电平笔。与此同时,它也可以用VHDL和Verilog HDL仿真模拟。

(3)MATLAB产品家族:其特点是许多特定于应用程序的工具箱和仿真块包含了一套完整的功能,用于图像信号处理,控制系统设计,神经网络等特殊应用分析的设计。它具有数据采集,报表生成和MATLAB语言编程独立的C / C + +代码的功能。 MATLAB产品系列具有以下特点:数据分析,数字和符号计算,工程与科学绘图,控制系统设计,数字图像信号处理,金融工程,建模,仿真,原型开发应用程序的开发,图形用户界面设计。 MATLAB产品系列被广泛应用于信号和图像处理,控制系统设计,通信系统仿真等诸多领域。开放式架构能够很容易地扩展MATLAB产品家族的具体需求,从而深化对问题的认识,同时提高自身竞争力。

2.2 PCB设计软件

PCB(印刷电路板)设计软件,许多不同的类型,如PROTEL,ORCAD,Viewlogic,PowerPCB中,Cadence的PSD,MentorGraphices远征PCB Zuken公司CadStart,WINBOARD / Windraft / IVEX-SPICE,PCB工作室,探戈,PCBWizard(创业奇兵配套的PCB生产包),ultiBOARD7(PCB配套Multisim的2001年。制作软件包)等等。

目前在中国最多的当属Protel中,只是作为一个介绍这个软件。

PROTEL PROTEL现在Altium的CAD工具,在20世纪80年代末引入,是PCB设计者的首选软件。它在全国较早,普及率最高的,在许多大,中专院校的专业电路还擅长在PROTEL课程的创作,几乎在电路应使用。早期的Protel印刷板自动布线工具,它是一个完整的系统电路设计,范围包括电气原理图的混合信号,模拟电路和数字电路仿真,多对Protel DXP的是目前广泛使用的最新版本是protel99se层印刷电路板设计,自动布局布线(包括印刷电路板),可编程逻辑器件设计,图表生成电路形式生成,支持宏操作,并具有客户机/服务器(客户机/服务器体系结构),文件格式也是与其他设计软件,如ORCAD,PSPICE,EXCEL,等兼容。使用自动布线的多层印刷电路板,高密度PCB布通率100%。 Protel软件功能强大(电路仿真功能和的PLD开发能力),用户界面友好,使用方便,但它是最具有代表性的电路设计和PCB设计。

2.3 IC设计软件

IC设计工具,顶Cadence公司,Mentor Graphics和Synopsys公司的市场份额。三是ASIC设计是颇为知名的软件供应商。其他公司的软件是比较小的用户。中国和中国也提供ASIC设计软件(熊猫2000);最近的另一项着名的阿凡提,最初成立由Cadence的几个中国工程师和他们的设计工具可以充分竞争和Cadence的工具,深亚微米集成电路设计的理想选择。以下使用的IC设计软件作一些介绍。

(1)设计输入工具

这是任何一种EDA软件必须具备的基本功能。像Cadence的作曲家,Viewlogic ViewDraw的硬件描述语言VHDL,Verilog HDL语言为主要语言,许多设计输入工具,支持高密度脂蛋白(例如,Multisim等),。此外,类似的Active-HDL设计输入方法,包括原理和状态机输入法设计FPGA / CPLD的工具,可以设计成一个IC的输入手段,如Xilinx,Altera和其他公司提供的开发工具,ModelSim的FPGA等..

(2)设计和仿真工作

使用EDA工具的最大好处之一,可以验证该设计是正确的,几乎每个公司的EDA仿真工具。的Verilog-XL,NC-Verilog的蛙跳VHDL Verilog仿真,模拟,模拟用于模拟电路仿真的艺术家。 Viewlogic的仿真器:门级电路模拟器viewsim,模拟器speedwaveVHDL,VCS - Verilog仿真。 Mentor Graphics公司及其附属型号技术VHDL和Verilog仿真模型辛。 Cadence公司,Synopsys的VSS(VHDL仿真器)。现在的趋势是各大EDA公司都逐渐HDL仿真电路验证工具。

(3)合成工具

工具HDL到门级网表。这Synopsys的工具占很大的优势,它的设计编译为一个综合性的行业标准,它也有另一个称为行为编译器可以提供更先进的集成产品。

另外,最近美国开出的一个软件叫涵盖的范围,比新思软件,集成电路50万,快说。涵盖的范围今年早些时候收购Cadence Cadence公司成就放弃其原有的软件协同。随着FPGA设计的规模越来越大,EDA公司FPGA设计开发软件,比较有名的:Synopsys公司的FPGA快,Cadence的Synplity,Mentor的莱昂纳多,三个FPGA综合软件占市场的绝大多数。

在④布局和布线

IC设计布局的工具,Cadence的软件是比较强的,它有很多的产品,标准单元,门阵列可以实现交互布线。最有名的是Cadence的光谱,它变成了PCB布局,Cadence公司,后来它被用于集成电路布线。其主要的工具:CELL3硅谷乐团标准的单位接线门乐团门阵列布线设计规划布局工具。其他EDA软件开发公司也提供自己的布局和布线工具。

⑤物理验证工具

物理验证工具,包括布局设计工具,版图验证工具,布局提取工具。 Cadence是德古拉,Virtuso,吸血鬼,和其他物理工具也很强大,有很多的用户。

⑥模拟电路仿真器

数字电路,模拟电路仿真工具,常用在SPICE模拟器面前说,这是唯一的选择。只要选择不同公司的SPICE,PSPICE像MiceoSim元HSPICE软。 HSPICE阿凡提收购。众多的SPICE,IC设计,HSPICE模型,模拟精度也很高。

2.4 PLD设计工具

PLD(可编程逻辑器件)是一个用户需要构建自己的逻辑功能的数字集成电路。目前,主要有两种类型:CPLD(复杂可编程逻辑器件)和FPGA(现场可编程门阵列)。他们的基本设计方法是借助于EDA软件,原理图,状态机,布尔表达式,硬件描述语言,生成对象文件,最后由目标器件编程器或下载电缆。生产PLD的厂家很多,但最有代表性的PLD厂家为Altera,Xilinx和格子。

PLD开发工具一般由设备制造商提供,但随着设备的规模日益扩大,软件复杂性的增加,目前由专门的软件公司和设备制造商,引进强大的设计的软件。下面描述了主要的移动设备制造商和开发工具。

(1)ALTERA:20世纪90年代后迅速发展。主要产品有:MAX3000/7000,FELX6K/10K,APEX20K,ACEX1K,Stratix和等。其开发工具-MAX + PLUS II是比较成功的PLD开发平台,最新的Quartus II开发软件。绑定第三方VHDL综合工具,如:集成软件FPGA快速,伦纳德频谱,仿真软件的ModelSim Altera公司设计输入意味着更多的形式。

②ILINX:FPGA的发明者。在整个产品范围,主要表现在:XC9500/4000的CoolRunner XPLA3,斯巴达,一系列顶点,顶点-II Pro器件已达到800万。发展基金会和ISE软件。一般来说,在欧洲Xilinx和ALTERA公司的人在日本和亚太地区,在美国平分秋色。全球PLD / FPGA产品超过60%是由Altera和Xilinx。 Altera和Xilinx可以一起说话的PLD技术的发展确定了方向。

③格处Vantis:莱迪思ISP(在系统可编程)技术的发明者。 ISP技术极大地促进了PLD产品的发展相比,与Altera和Xilinx开发工具比Altera和Xilinx略逊一筹。小型和中型PLD比较有特色,大规模PLD的竞争力还不够强(晶格查表技术的大规模FPGA),可编程模拟设备为主,在1999年推出,1999年的收购处Vantis( AMD子公司),成为第一个三个可编程逻辑器件供应商。 2001年12月收购杰尔系统(原朗讯微电子部)的FPGA部门。主要产品有ispLSI2000/5000/8000 MACH4 / 5。

④ACTEL:反熔丝(一次性编程)PLD的领导。由于反熔丝PLD抗辐射,耐高低温,功耗低,速度快,所以在军事和航空航天的水平有较大的优势。 Altera和Xilinx一般不参与军事和航空航天级市场。

⑤QuickLogic公司:专业PLD / FPGA公司,一次性基于反熔丝技术在中国的销售。

⑥朗讯:其主要特点是,有很多在通信领域使用的专用IP核,但PLD / FPGA朗讯在中国的业务的人很少。

⑦ATMEL:小型和中型PLD做得不错。 Atmel还兼容与Altera和Xilinx的电影,但与原厂家的质量存在一定的差距,高可靠性的产品用量少,使用中低端产品。

⑧清除逻辑:生产和一些着名的PLD / FPGA兼容的芯片,这种芯片可以设计用户一次性治愈,不可编程的低成本,大批量生产。

⑨WSI:生产PSD(单芯片可编程外围芯片)。这是一种特殊的PLD,如最新的PSD8xx的PSD9xx集成PLD,EPROM,闪存,支持ISP(在系统编程),集成度高,主要用于与单片机配合。

顺便说一句:PLD(可编程逻辑器件)是一个完全取代74系列和GAL,解放军的新型电路,只要有数字电路的基础上使用电脑,你可以PLD发展。 PLD的在线编程能力和强大的开发软件,工程师可以在几天,甚至几分钟就可以完成,在过去的几个星期才能完成的工作,在一个芯片上集成数百万门复杂的设计。 PLD技术在发达国家已成为电子工程师必备的技术。

2.5其他EDA软件

1 VHDL:超高速集成电路硬件描述的语言(VHSIC硬件Deseription的Languagt,简称为VHDL),是一个标准的语言IEEE。它起源于美国国防部的超高速集成电路(甚高速集成电路,简称VHSIC)计划,主要ASIC设计和PLD设计输入工具。

②HDL Veriolg:介绍了Verilog硬件描述语言,在ASIC设计用VHDL语言平分秋色。

(3)其它EDA软件,如微波电路设计和电力线载波专用工具,PCB生产和过程控制工具领域,这将不会被引进。

3 EDA应用

EDA在教学,科研,产品设计和制造的各个方面发挥了巨大的作用。在教学中,几乎所有的科学与工程(尤其是电子产品)类高校开设了EDA课程。旨在让学生了解基本概念和原则的EDA,掌握HDL语言规范,掌握的理论和算法的逻辑,EDA工具,电子电路课程的实验验证,并从事设计一个简单的的系统。一般学习电路仿真工具(如Multisim中,PSPICE)和PLD开发工具(如Altera / Xilinx器件结构和发展系统),为今后的工作打下了基础。

科研,主要使用的电路仿真工具(Multisim中或PSPICE)电路设计与仿真,虚拟仪器进行产品测试; CPLD / FPGA器件的实际应用设备,PCB设计和ASIC设计,等。

在产品设计和制造,包括计算机仿真,EDA工具应用在产品开发,系统级仿真和模拟测试环境,生产线的EDA技术应用,产品测试等方面。如生产印刷电路板,电子设备的研究和生产,电路板焊接,ASIC生产过程。

从应用领域来看,EDA技术已经渗透到各行各业,如上所述,包括机械,电子,通讯,航空,航天,化工,矿产,生物,医疗,军事,等各个领域,有EDA应用。此外,EDA软件的功能日益强大,原来单一的软件现在增加了很多新的用途。如AutoCAD软件在机械和建筑设计,也扩展到建筑装饰领域,各种效果图,模型汽车和飞机,电影特技。

4 EDA技术的发展趋势从目前的EDA技术的角度来看,这种趋势是政府的重视,使用流行的,广泛使用的各种工具,功能强大的软件。

中国EDA市场已经成熟,但大多数设计工程师,PCB制板和小面积的ASIC中,只有一小部分(约11%)的设计师来开发复杂的片上系统设备。为了在台湾和美国的设计工程师形成更激烈的竞争,中国的设计团队是必要的引进和学习一些最新的EDA技术。

在信息和通信领域,要优先发展高速宽带信息网,深亚微米集成电路,新型元器件,计算机及软件技术,第三代移动通信技术,信息管理,信息安全技术,积极探索新一代数字技术,网络技术为基础的信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD),计算机辅助工程(CAE),计算机辅助工艺(CAPP),计算机辅助制造(CAM),产品数据管理(PDM) ,制造资源计划(MRPII)和企业资源管理(ERP)。有条件的企业开展了网络化制造,便于合作设计,合作制造,参与国内和国际竞争。开展“NC”项目和“数字化”项目。自动化仪表技术发展趋势的测试技术,控制技术和计算机技术,通信技术进一步融合,形成的结构,测量,控制,通信和计算机(M3C)。 ASIC和PLD设计,超高速,高密度,低功耗,低电压的发展。

外围技术和EDA项目相结合的市场前景,如结合大屏幕连接,多屏技术也很发达。

中国加快发展半导体产业自1995年以来,先后建立了几个设计中心,推动系列设计活动,在亚太地区其它EDA市场竞争。

EDA软件开发,主要是在美国。不过,各国也制定了相应的工具。日本,韩国都有ASIC设计工具,但不向公众开放。中国华大集成电路设计中心也提供IC设计软件,但性能不是很强。我相信,在不久的将来会有更多更好的设计工具,各地开花结果。据最新的统计数据显示,中国和印度是增长最快的电子设计自动化市场领域,夏天的总增长率达到了50%和30%,分别。

EDA技术的快速发展,可以用千变万化来形容。 EDA技术的应用非常广泛,已涉及各行各业。 EDA和水平不断提高,设计工具趋于完美的地步。 EDA市场的成熟,但在中国的研发水平仍然是有限的,还需要迎头赶上。

‘伍’ 课程设计EDA谁能帮我做一个EDA的简易cpu课程设计,要程序,波形图,和block框图

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
20世纪90年代,国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

‘陆’ 怎么写数字钟课程设计的心得

数字电子技术课程设计 心得体会 交通灯信号控制电路设计
心得体会:

1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和PCB连接图,和芯片上的选择。这个方案总共使用了74LS248,CD4510各两个,74LS04,74LS08,74LS20,74LS74,NE555定时器各一个。

2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。

3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。

平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。

4、在制作PCB时,发现细心耐心,恒心一定要有才能做好事情,首先是线的布局上既要美观又要实用和走线简单,兼顾到方方面面去考虑是很需要的,否则只是一纸空话。

5、在画好原理图后的做PCB版时,由于项目组成员对单面板的不熟悉,导致布线后元件出现在另一边,增加了布线难度,也产生很多不曾注意的问题,今后要牢记这个教训,使以后布线更加顺利。

6、经过两个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。

生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋; 正所谓“三百六十行,行行出状元”。我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路,执着的走下去。

同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们实习成功的一项非常重要的保证。而这次实习也正好锻炼我们这一点,这也是非常宝贵的。

对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生旅途上一个非常美好的回忆!

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。同时,在老师的身上我们学也到很多实用的知识,在次我们表示感谢!同时,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

7、此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松,自己也都能扛的起并高质量的完成项目。

8、在此,感谢于老师的细心指导,也同样谢谢其他各组同学的无私帮助!

PROTEL99SE 软件工具应用技巧

Protel 99SE 提供了一系列的电路设计工具、优秀的文件管理系统,使用户真正享受到方便快捷而又形象的设计自动化,使设计人员从烦琐的电路设计中解脱出来,只需拥有一台电脑,即可完成从电路原理图的设计到最终的印制电路板设计的全部过程。它包含有各功能模块和标准的元件库。

主要功能模块:

Protel 99SE 具有出色的用户管理技术,强大的自动化设计功能,灵活的编辑功能,简单方便的操作环境和完善的元件库管理能力。Protel 99SE
主要由两大部分组成,每一部分有三个功能模块

1.电路设计部分

a.
原理图设计模块,包括用于设计原理图的原理图编辑器,用于管理元器件的零件编辑器和各种相关报表生成器。

b. 印制电路板设计模块,包括用于设计电路板的电路板编辑器,用于零件封装管理的零件封装编辑器,电路板组件管理器和各种相关报表生成器。

c.
无网格布线模块。

2.电路仿真与PLD 设计部分

a.
可编程逻辑器件设计模块,包括具有语法意识的文本编辑器,用于编译和仿真结果的PLD
和用于观 仿真结果的Wave.

b. 电路仿真模块,包括一个功能强大的数字/模拟混合信号电路仿真器及用于仿真结果显示、测量的波形显示器。

c.
高级信号完整性分析模块,主要包括一个高级信号完整性分析仿真器,能分析PCB 设计和检查设计参数等。

数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在短短的两个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。
这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在王老师的辛勤指导下,终于游逆而解。同时,在王老师的身上我学得到很多实用的知识。总体来说,这次实习我受益匪浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:1、提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。另外,我们还更加充分的认识到,数字电路这门课程在科学发展中的至关重要性2,查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。3,相互讨论共同研究也是很重要的,经常出现一些问题,比如电路设计中的分频器的设计,开始并不理解分频器的原理,但是和其他的专业同学讨论后,理解了分频器的基本原理后,很快的设计了电路原理图

‘柒’ 湖北汽车工业学院自动化(汽车工业电气自动化)是什么专业学科类别

工科。 下面是汽院自动化专业今年的人才培养方案,自己看看要学些什么,至于有些人总拿车辆工程来比较汽院的专业,我觉得这是个很弱智的想法,每个专业都有自己的发展方向,难道全国就车辆工程专业好就业吗?这根本没有什么好比较的,什么专业只有学好了才会有好的就业,难道车辆工程专业的学生混了四年,什么都没学到,他也好就业·?

2011级080602|自动化(汽车工业电气自动化)人才培养方案
入学年份: 2011
所属院系:02|电气与信息工程学院
专业(方向): 080602|自动化(汽车工业电气自动化)
1、专业培养目标
专业培养以现代汽车生产为对象,以控制理论为基础,以运动控制为方向,以机床数控与工业机器人应用技术为特色,兼顾计算机控制技术和自动控制技术,为汽车工业培养理论基础扎实、工程实践能力强,能从事汽车工业自动化设备和自动控制系统调试分析、工程设计、运行控制及研究开发的高级工程技术人才。
2、业务培养要求
1 系统地掌握本专业领域必需的较宽的技术基础理论知识,主要包括电路原理、电子技术、电机与拖动、控制理论、信息处理、计算机软硬件基础及应用等。 2 较好地掌握运动控制系统、工业电气与控制技术、数控原理与编程、典型数控系统、工业机器人应用技术等汽车工业电气自动化领域的专门知识,获得较好的汽车工业自动控制系统分析、设计及开发方面的工程实践训练和初步的科学研究方法训练,具有较强的专业操作技能和熟练的计算机应用能力。 3 具有较强的自学能力和创新意识及提出问题、分析问题、解决问题的基本能力。了解本专业学科的科技发展及其动向。 4 具备适应本专业和相近专业业务工作的基本能力与素质,具有基本的技术经济、工业管理知识及综合运用能力。 5 掌握一门外语,具有熟练的阅读专业外语文献能力和较好的听、说、写能力。
3、学制
3.1修业年限: 4年 3.2授予学位:工学
4、专业主要课程
电路原理、模拟电子技术基础、数字电子技术基础、C语言程序设计、自动控制原理、电力电子技术、现代控制理论、电机与电力拖动、微机原理及应用、运动控制系统、工业电气与控制技术、计算机控制技术、单片机原理及应用、典型数控系统、数控原理与编程、计算机仿真技术、智能控制
5、实践性教学环节安排
主要实践环节包括:军训、金工实习、电工电子实习、认识实习、生产实习、社会实践、课程设计、毕业设计(论文)等,不少于38周。
6、毕业学分要求
公共基础课:49.0学分 学科基础课:67.0学分 专业课:36.0学分 集中实践环节:38.0学分
7、有关说明
8、课程进程表
课程类型 性质 课程编号 课程名称 考核 学分 总学时 理论 实验 上机 课外 周数 学期
公共基础课至少49.0学分 --
公共基础课 必修 060010 马克思主义基本原理 考试 3.0 48 48 3-- 3
公共基础课 必修 060030 中国近现代史纲要 考查 2.0 32 32 1-- 1
公共基础课 必修 060050 毛泽东思想和中国特色社会主义理论体系概论 考试 4.0 60 60 4 2-- 2
公共基础课 必修 060060 马克思主义与当代中国实践 考查 2.0 45 45 3-- 3
公共基础课 必修 060170 思想道德修养与法律基础 考查 3.0 42 42 1-- 1
公共基础课 必修 060330 形势与政策1 考查 0.5 8 8 1-- 1
公共基础课 必修 060331 形势与政策2 考查 0.5 8 8 2-- 2
公共基础课 必修 060332 形势与政策3 考查 0.5 8 8 3-- 3
公共基础课 必修 060333 形势与政策4 考查 0.5 8 8 4-- 4
公共基础课 必修 160010 普通体育1 考查 1.5 30 30 1-- 1
公共基础课 必修 160011 普通体育2 考查 1.5 30 30 2-- 2
公共基础课 必修 160020 体育专选1 考查 1.5 30 30 3-- 3
公共基础课 必修 160021 体育专选2 考查 1.5 30 30 4-- 4
公共基础课 必修 170020 大学计算机基础 考试 2.0 32 24 8 1-- 1
公共基础课 必修 180010 军事理论 考查 1.0 18 18 1-- 1
公共基础课 选修 090010 大学英语读写译1 考试 2.5 40 40 1-- 2
公共基础课 选修 090011 大学英语读写译2 考试 2.5 40 40 1-- 3
公共基础课 选修 090012 大学英语读写译3 考试 2.5 40 40 2-- 4
公共基础课 选修 090013 大学英语读写译4 考试 2.5 40 40 3-- 5
公共基础课 选修 090014 大学英语预备级 考试 2.5 40 40 1-- 1
公共基础课 选修 090040 科技英语 考查 1.5 30 30 5-- 5
公共基础课 选修 090810 大学英语视听说1 考试 1.5 28 28 1-- 2
公共基础课 选修 090811 大学英语视听说2 考试 1.5 28 28 2-- 3
公共基础课 选修 090812 大学英语视听说3 考试 1.5 28 28 3-- 4
公共基础课 选修 090813 大学英语视听说4 考试 1.5 28 28 4-- 5
公共基础课 选修 090814 大学英语视听说预备级 考试 1.5 28 28 1-- 1
公共基础课 选修 170030 计算机操作基础 考试 1.0 16 8 8 1-- 1
学科基础课至少67.0学分 --
学科基础课 必修 010021 工程制图B 考试 3.5 56 56 1-- 1
学科基础课 必修 020010 电路A 考试 4.5 72 72 3-- 3
学科基础课 必修 020240 自动控制原理A 考试 4.0 64 56 8 5-- 5
学科基础课 必修 020290 电机与电力拖动 考试 4.5 72 60 12 4-- 4
学科基础课 必修 020400 微机原理及应用A 考试 4.5 72 54 18 6-- 6
学科基础课 必修 020420 C语言程序设计A 考试 4.0 64 40 24 2-- 2
学科基础课 必修 028810 电路实验 考查 1.0 24 24 3-- 3
学科基础课 必修 080010 模拟电子技术基础A 考试 4.5 72 56 16 4-- 4
学科基础课 必修 080030 数字电子技术基础 考试 4.5 72 56 16 5-- 5
学科基础课 必修 150010 高等数学1 考试 2.5 42 42 1-- 1
学科基础课 必修 150011 高等数学2 考试 2.5 40 40 1-- 1
学科基础课 必修 150012 高等数学3 考试 2.5 44 44 2-- 2
学科基础课 必修 150013 高等数学4 考试 2.5 36 36 2-- 2
学科基础课 必修 150030 线性代数 考试 2.5 40 40 3-- 3
学科基础课 必修 150040 概率论与数理统计 考试 2.5 44 44 4-- 4
学科基础课 必修 150050 复变函数与积分变换 考查 3.0 48 48 3-- 3
学科基础课 必修 150110 大学物理A1 考试 3.5 56 56 2-- 2
学科基础课 必修 150111 大学物理A2 考试 3.5 56 56 3-- 3
学科基础课 必修 150130 大学物理实验A1 考查 1.5 30 30 2-- 2
学科基础课 必修 150131 大学物理实验A2 考查 1.5 30 30 3-- 3
学科基础课 选修 020250 现代控制理论 考查 2.5 40 36 4 6-- 6
学科基础课 选修 020360 系统工程导论 考查 1.5 30 30 4-- 4
学科基础课 选修 020890 自动化专业概论 考查 1.0 18 18 2-- 2
学科基础课 选修 040060 汽车概论 考查 1.5 30 30 4-- 4
学科基础课 选修 050081 工程经济B 考查 2.0 32 32 4-- 4
学科基础课 选修 050710 现代企业管理概论 考查 2.0 32 32 4-- 4
专业课至少36.0学分 --
专业课 必修 020100 检测与转换技术 考查 2.0 32 26 6 5-- 5
专业课 必修 020160 工业电气与控制技术 考试 3.0 48 48 6-- 6
专业课 必修 020230 电力电子技术 考试 3.0 48 48 5-- 5
专业课 必修 020270 运动控制系统 考试 4.0 64 64 6-- 6
专业课 必修 020441 计算机控制技术 考试 1.5 30 30 7-- 7
专业课 必修 020511 单片机原理及应用B 考查 2.0 32 32 6-- 6
专业课 必修 021080 典型数控系统 考试 1.5 30 30 6-- 6
专业课 选修 011680 机械与液压基础 考查 3.0 48 40 8 4-- 4
专业课 选修 020280 自动化专业英语 考查 1.5 30 30 7-- 7
专业课 选修 020300 计算机仿真技术 考查 1.5 30 16 14 5-- 5
专业课 选修 020330 工业机器人应用技术 考查 1.5 30 26 4 5-- 5
专业课 选修 020380 DSP原理及应用B 考查 2.0 32 22 10 7-- 7
专业课 选修 020481 计算机软件基础 考查 2.5 40 34 6 4-- 4
专业课 选修 020600 数据库技术 考查 2.5 40 30 10 7-- 7
专业课 选修 020770 Visual C++程序设计 考查 1.5 30 30 3-- 3
专业课 选修 020911 嵌入式系统B 考查 2.0 32 24 8 7-- 7
专业课 选修 020920 智能控制导论 考查 1.5 30 30 7-- 7
专业课 选修 020930 组态软件与运用 考查 1.5 30 22 8 7-- 7
专业课 选修 021090 数控原理与编程 考查 2.5 40 32 8 5-- 5
专业课 选修 021200 汽车制造工艺与自动化 考查 1.5 30 30 5-- 5
专业课 选修 021210 汽车制造自动化检测 考查 1.5 30 30 6-- 6
专业课 选修 021220 工厂电气CAD 考查 1.0 16 16 5-- 5
专业课 选修 080061 数字信号处理B 考查 2.0 32 32 7-- 7
专业课 选修 080420 可编程逻辑器件 考查 1.5 28 28 7-- 7
集中实践环节至少38.0学分 --
集中实践环节 必修 018162 金工实习(冷) 考查 1.0 1 1-- 1
集中实践环节 必修 028100 微机原理及应用A课程设计 考查 1.0 1 6-- 6
集中实践环节 必修 028120 工业电气与控制技术课程设计 考查 1.0 1 6-- 6
集中实践环节 必修 028140 自动化专业认识实习 考查 1.0 1 4-- 4
集中实践环节 必修 028170 自动化社会实践 考查 1.0 1 8-- 8
集中实践环节 必修 028190 电工电子实习 考查 2.0 2 4-- 4
集中实践环节 必修 028230 自动化生产实习 考查 4.0 4 7-- 7
集中实践环节 必修 028250 自动化毕业设计 考查 16.0 16 8-- 8
集中实践环节 必修 028410 计算机控制技术课程设计 考查 1.0 1 7-- 7
集中实践环节 必修 028420 运动控制系统课程设计 考查 1.0 1 6-- 6
集中实践环节 必修 028450 单片机原理及应用课程设计 考查 1.0 1 6-- 6
集中实践环节 必修 028460 数控系统课程设计 考查 1.0 1 7-- 7
集中实践环节 必修 028500 电力电子技术课程设计 考查 1.0 1 5-- 5
集中实践环节 必修 028841 C语言程序设计课程设计 考查 1.0 1 2-- 2
集中实践环节 必修 038092 金工实习(热) 考查 1.0 1 2-- 2
集中实践环节 必修 088010 数字电子技术基础课程设计 考查 1.0 1 5-- 5
集中实践环节 必修 088130 模拟电子技术基础A课程设计 考查 1.0 1 4-- 4
集中实践环节 必修 180810 军事训练 考查 1.0 2 1-- 1
集中实践环节 选修 028200 高级电子实习 考查 1.0 1 7-- 7
集中实践环节 选修 028820 工厂电气CAD课程设计 考查 1.0 1 5-- 5
集中实践环节 选修 028860 Visual C++程序设计课程设计 考查 1.0 1 3-- 3
集中实践环节 选修 088160 可编程器件课程设计 考查 1.0 1 7-- 7

‘捌’ EDA课程设计,用VHDL编程做出租车计费器

课程设计内容与要求
1,用开关按键表示脉冲,每个脉冲代表100米,10个脉冲1公里,每公里1.4元,能同步显示里程和费用;
2,低于2公里5元计费,高于2公里总费用=起步费用+(里程-2公里)*里程单价+
等候时间*等后单价;
3,等候时间大于2分钟,按每分钟1.3元计费;
4,可以设定起步价和里程单价。
一、设计原理与技术方法:
包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明;
软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。
根据设计要求,系统的输入信号clk,计价开始信号start,等待信号stop,里程脉冲信号fin。系统的输出信号有:总费用数C0—c3,行驶距离k0—k1,等待时间m0—m1等。系统有两个脉冲输入信号clk_750k,fin,其中clk_750k将根据设计要求分频成14hz,15hz和1hz分别作为公里计费和超时计费的脉冲。两个控制输入开关start,stop;控制过程为:start作为计费开始的开关,当start为高电平时,系统开始根据输入的情况计费。当有乘客上车并开始行驶时,fin脉冲到来,进行行驶计费,此时的stop需要置为0;如需停车等待,就把stop变为高电平,
并去除fin输入脉冲,进行等待计费;当乘客下车且不等待时,直接将start置为0,系统停止工作;价格开始归为起步价5.0元。
整个设计由分频模块,计量模块,计费模块,控制模块和显示模块五个部分组成。
其中计量模块是整个系统实现里程计数和时间计数的重要部分;控制模块是实现不同计费方式的选择部分,根据所设计的使能端选择是根据里程计费还是根据等待时间计费,同时设计通过分频模块产生不同频率的脉冲信号来实现系统的计费。计量模块采用1hz的驱动信号,计费模块采用14hz,13hz的驱动信号;计量模块每计数一次,计量模块就实现14次或者13次计数,即为实现计时的1.3元/min,计程时的1.4元/km的收费。组成框图如下所示:

1.百进制模块:
实现百米脉冲的驱动信号,元件框图如图3所示:

图3 百进制模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity jin is
port(start,clk2: in std_logic; --秒脉冲
a: out std_logic_vector(3 downto 0));
end jin;
architecture rt1 of jin is
signal count_1:std_logic_vector(3 downto 0);
begin
a<=count_1;
process(start,clk2)
begin
if(start='0')then
count_1<="0000";
elsif(clk2'event and clk2='1')then
if(count_1="0111")then
count_1<="0000";
else
count_1<=count_1+'1';
end if;
end if;
end process;
end rt1

2.计费模块
; 实现里程和等候时间的计费并输出到显示,元件框图4如下:

图4 计费模块框图

源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --计费驱动信号
start:in std_logic; --计费开始信号
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt1 of jifei is
begin
process(clk2,start)
begin
if start='0'then c3<="0000";c2<="0000";c1<="0101";c0<="0000"; --起步价5元
elsif clk2'event and clk2='1'then
if c0="1001" then c0<="0000";
if c1="1001" then c1<="0000";
if c2="1001" then c2<="0000";
if c3="1001" then c3<="0000";
else c3<=c3+1;
end if;
else c2<=c2+1;
end if;
else c1<=c1+1;
end if;
else c0<=c0+1;
end if;
end if;
end process;
end rt1;

3.公里模块
实现历程的计数和输出计费脉冲,元件框图5如下:

图5 公里模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity gongli is
port(clk1,start: in std_logic; --百米脉冲
k1,k2,k3,k4: out std_logic_vector(3 downto 0); --里程显示
temp2 : out std_logic);
end gongli;

architecture rt1 of gongli is
signal count_1: std_logic_vector(3 downto 0);
signal count_2: std_logic_vector(3 downto 0);
signal count_3: std_logic_vector(3 downto 0);
signal count_4: std_logic_vector(3 downto 0);
begin
k1<=count_1;
k2<=count_2;
k3<=count_3;
k4<=count_4;
process(start,clk1)
begin
if(start='0')then
count_1<="0000";
count_2<="0000";
count_3<="0000";
count_4<="0000"; ---公里清零
elsif(clk1'event and clk1='1')then
if(count_1="1001")then --公里计数器
count_1<="0000";count_2<=count_2+1;temp2<='1';
if(count_2="1001")then
count_2<="0000";count_3<=count_3+'1';
if(count_3="1001")then
count_3<="0000";count_4<=count_4+'1';
end if;
end if;
else
count_1<=count_1+'1';temp2<='0';
end if;
end if;
end process;
end rt1;

4.输出模块
实现所有数据的输出,元件框图6如下:

图6 输出模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity shuchu is
port(y: in std_logic_vector(3 downto 0);
e: out std_logic_vector(6 downto 0));
end shuchu;

architecture rt1of shuchu is
begin
process
begin
case y is
when"0000"=>e<="0111111";
when"0001"=>e<="0000110";
when"0010"=>e<="1011011";
when"0011"=>e<="1001111";
when"0100"=>e<="1100110";
when"0101"=>e<="1101101";
when"0110"=>e<="1111101";
when"0111"=>e<="0000111";
when"1000"=>e<="1111111";
when"1001"=>e<="1100111";
when others=>e<="0000000";
end case;
end process;
end rt1;

5.显示模块
实现所有数据的显示,元件框图7如下:

图7 显示模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity xianshi is
port(start: in std_logic;
a:in std_logic_vector(3 downto 0); --选择信号
c1,c2,c3,c4,out1,out2,out3,out4:in std_logic_vector(3 downto 0); --里程显示,时间显示输入
y:out std_logic_vector(3 downto 0)); --里程显示,时间显示输出
end xianshi;
architecture rt1 of xianshi is
begin
process
begin
if(start='0')then
y<="0000";
else case a is
when "0000"=> y<=c1 ;
when "0001"=> y<=c2 ;
when "0010"=> y<=c3 ;
when "0011"=> y<=c4 ;
when "0100"=> y<=out1 ;
when "0101"=> y<=out2;
when "0110"=> y<=out3 ;
when "0111"=> y<=out4;
when others =>y<= "0000";
end case;
end if;
end process;
end rt1;

6.dian模块

图8 dian模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity dian is
port(a: in std_logic_vector(3 downto 0);
e: out std_logic);
end dian;
architecture rt1 of dian is
begin
process
begin
case a is
when "0001"=>e<='1';
when "0101"=>e<='1';
when others=>e<='0';
end case;
end process;
end rt1;

三、中各个模块设计分析
系统总体顶层框图如下:

系统总体顶层框图

程序最终功能实现波形仿真

1. 分频模块
由于实验箱上没有14hz和13hz的整数倍时钟信号,因此采用频率较大的750khz进行分频,以近似得到14hz,13hz和1hz的时钟频率。通过以上三种不同频率的脉冲信号实行出租车行驶,等待两种情况下的不同计费。模块元件如下:

分频模块框图
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity fenpin is
port(clk_750k:in std_logic; --系统时钟
clk_14:buffer std_logic; --14分频
clk_13:buffer std_logic; --13分频
clk_1 : buffer std_logic); --1分频
end fenpin ;
architecture rt1 of fenpin is
signal q_14:integer range 0 to 53570; --定义中间信号量
signal q_13:integer range 0 to 57691;
signal q_1:integer range 0 to 749999;
begin
process(clk_750k)
begin
If(clk_750k' event and clk_750k='1')then
If q_14=53570 then q_14<=0;clk_14<=not clk_14;
else q_14<=q_14+1;
end if; --得14hz频率信号
If q_13=57691 then q_13<=0;clk_13<=not clk_13;
else q_13<=q_13+1;
end if; --得13hz频率信号
If q_1=749999 then q_1<=0;clk_1<=not clk_1;
else q_1<=q_1+1;
end if; --得1hz频率信号
end if;
end process;
end rt1;

2. 计量模块
计量模块主要完成计时和计程功能。
计时部分:计算乘客的等待累积时间,当等待时间大于2min时,本模块中en1使能信号变为1;当clk1每来一个上升沿,计时器就自增1,计时器的量程为59min,满量程后自动归零。
计程部分:计算乘客所行驶的公里数,当行驶里程大于2km时,本模块中en0使能信号变为1;当clk每来一个上升沿,计程器就自增1,计程器的量程为99km,满量程后自动归零。
元件框图为:

计量模块框图

计量模块仿真波形为:

源程序如下:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity jiliang is
port(start:in std_logic; --计费开始信号
fin:in std_logic; --里程脉冲信号
stop:in std_logic; --行驶中途等待信号
clk1:in std_logic; --驱动脉冲
en1,en0:buffer std_logic; --计费单价使能信号
k1,k0:buffer std_logic_vector(3 downto 0); --行驶公里计数
m1,m0:buffer std_logic_vector(3 downto 0)); --等待时间计数
end jiliang;
architecture rt2 of jiliang is
signal w:integer range 0 to 59; --计时范围0~59
begin
process(clk1)
begin
if(clk1'event and clk1='1')then
if start='0' then
w<=0;en1<='0';en0<='0';m1<="0000";
m0<="0000";k1<="0000";k0<="0000";
elsif stop='1' then --计时开始信号
if w=59 then
w<=0;
else w<=w+1;
end if;
if m0="1001" then
m0<="0000";
if m1="0101" then
m1<="0000";
else m1<=m1+1;
end if;
else m0<=m0+1;
end if;
if stop='1' then en0<='0';
if m1&m0>"00000001" then en1<='1'; --若等待时间大于2min则en1置1
else en1<='0';
end if;
end if;
elsif fin='1' then --里程计数开始
if k0="1001" then k0<="0000";
if k1="1001" then k1<="0000"; --计程范围0~99
else k1<=k1+1;
end if;
else k0<=k0+1;
end if;
if stop='0' then
en1<='0';
if k1&k0>"00000001" then
en0<='1'; --若行使里程大于2km,则en0置1
else en0<='0';
end if;
end if;
end if;
end if;
end process;
end rt2;

3. 控制模块
本模块主要是通过计量模块产生的两个不同的输入使能信号en0,en1,对每个分频模块输出的14hz,13hz的脉冲进行选择输出的过程;本模块实现了双脉冲的二选一;最终目的为了计费模块中对行驶过程中不同的时段进行计价。
模块元件如下:

控制模块框图
控制模块仿真波形为:

源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity kong is
port(en0,en1:in std_logic; --使能选择信号
clk_in1:in std_logic; --14分频输入信号
clk_in2:in std_logic; --13分频输入信号
clk_out:out std_logic); --输出信号
end kong;
architecture rt3 of kong is
begin
process(en0,en1)
begin
if en0='1' then --实现二选一功能
clk_out<=clk_in1;
elsif en1='1' then
clk_out<=clk_in2;
end if;
end process;
end rt3;

4.计费模块
当计费信号start一直处于高电平即计费状态时,本模块根据控制模块选择出的信号从而对不同的单价时段进行计费。即行程在2km内,而且等待累计时间小于2min则为起步价5元;2km外以每公里1.4.元计费,等待累积时间超过2min则按每分钟1.3元计费。c0,c1,c2,c3分别表示费用的显示。
模块元件为:

计费模块框图

计费模块仿真波形为:

源程序如下:

Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --计费驱动信号
start:in std_logic; --计费开始信号
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt4 of jifei is
begin
process(clk2,start)
begin
if start='0'then c3<="0000";c2<="0000";c1<="0101";c0<="0000"; --起步价5元
elsif clk2'event and clk2='1'then
if c0="1001" then c0<="0000";
if c1="1001" then c1<="0000";
if c2="1001" then c2<="0000";
if c3="1001" then c3<="0000"; --计价范围0~999.9
else c3<=c3+1;
end if;
else c2<=c2+1;
end if;
else c1<=c1+1;
end if;
else c0<=c0+1;
end if;
end if;
end process;
end rt4;

5.显示模块
显示模块完成计价,计时和计程数据显示。计费数据送入显示模块进行译码,最后送至以百元,十元,元,角为单位对应的数码管上显示。计时数据送入显示模块进行译码,最后送至以分为单位对应的数码管上显示。计程数据送入显示模块进行译码,最后送至以km为单位的数码管上显示。
模块元件为:

显示模块框图
源程序如下:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all; --定义库包

entity xianshi is --定义实体
port(
clk_scan:in std_logic; --扫描时钟信号端口设置
c3,c2,c1,c0:in std_logic_vector(3 downto 0); --总费用输入端口
k0,k1:in std_logic_vector(3 downto 0); --里程输入端口
m0,m1:in std_logic_vector(3 downto 0); --等待时间输入端口
sel:out std_logic_vector(2 downto 0); --控制数码管位选信号的扫描信号输出端口
led:out std_logic_vector(6 downto 0); --数码管的控制端口
led_dp:out std_logic --数码管的小数点输出端口
);
end xianshi;
architecture rt5 of xianshi is
signal an:std_logic_vector(6 downto 0); --数码显示管中间变量
signal shuju:std_logic_vector(3 downto 0); --选择输入端的中间变量
signal cnt:std_logic_vector(2 downto 0); --控制数码管的中间变量
signal xiaodian:std_logic; --小数点的中间变量
begin
process(clk_scan) --开始进程
begin
if clk_scan'event and clk_scan='1' then
cnt<=cnt+1; --每有一个扫描信号上升沿实现加1扫描
end if;
end process; --结束进程

process(cnt) --开始进程(选择扫描显示数码管)
begin
case cnt is --扫描时给每个数码管赋值
when "000"=>shuju<=c0;
when "001"=>shuju<=c1;
when "010"=>shuju<=c2;
when "011"=>shuju<=c3;
when "100"=>shuju<=k0;
when "101"=>shuju<=k1;
when "110"=>shuju<=m0;
when "111"=>shuju<=m1;
when others=> null;
end case;
if (cnt="001" or cnt="110")
then xiaodian<='1'; --在里程和总费用的个位处显示小数点
else xiaodian<='0';
end if;
end process; --结束进程

process(shuju) --开始进程(译码显示)
begin
case shuju is
when "0000"=>an<="0111111"; --0
when "0001"=>an<="0000110"; --1
when "0010"=>an<="1011011"; --2
when "0011"=>an<="1001111"; --3
when "0100"=>an<="1100110"; --4
when "0101"=>an<="1101101"; --5
when "0110"=>an<="1111101"; --6
when "0111"=>an<="0000111"; --7
when "1000"=>an<="1111111"; --8
when "1001"=>an<="1101111"; --9
when others=>null;
end case;
end process;
sel<=cnt;
led<=an;
led_dp<=xiaodian;
end rt5;
二、课程设计工作记录:
包括:设计步骤与时间安排、调试步骤与时间安排、课题完成结果说明
2.课题完成结果说明:
此计费器能实现起步价是5元;实现实验要求的1公里计费一次单价,行驶公里大于2km时每公里按1.4元计费并能显示里程和总共的费用。当行驶了6公里,等待了4分钟时,费用显示为15.8元。与计算公式总费用=起步费用+(里程-2公里)*里程单价+等候时间*等后单价;即15.8=5+(6-2)*1.4+4*1.3。实验结果与理论结果完全一致,实验设计成功。

‘玖’ 我是通信工程专业,现在学校开始选择专业选修课,我一头雾水啊…………

其实说实在的,这些课可能都是讲个大概的那种,不知道你们学校的通信专业强不,不过看到你们要细分,估计学校实力不错。
要我看来,计算机通信的课程很具有吸引力,三门课都很好很有用,反倒是物联网的那些课虚的很。虽然现在物联网火的一塌糊涂,但是只有Java是个好东西,其他的课自己喜欢自己看吧,但是如果你选了物联网,计算机通信的那三门课自学起来是很麻烦的,没那么简单。不仅如此,想搞物联网,计算机的三门课不学通都是白扯,哪个高级玩意不牵扯面向对象,不牵扯操作系统。如果你选计算机通信,那么需要人去搞物联网的话也只能找你了,你有技术,差的只是个概念,概念补起来相对容易一些,但是让一个不懂技术细节的人去搞物联网路还长一些。
我大胆的做个估计,传感器技术及应用、嵌入式系统开发、物联网信息技术讲的都是海阔天空的事,应该是会学到这些领域的框架而不能深入,实验也会相对多些但是没太大意义,传感器要程序控制;嵌入式不加操作系统那不就成单片机了,低级多了,而且像嵌入式这种东西基本上都是自己搞的,上课几乎不会告诉你怎么具体实现。
学通信的,编程要比得过计算机专业,硬件方面要比的过电路系统或是电子科学技术专业的。现在专业细分的很厉害,通信专业原来的份额被刮分的差不多。像编程有计算机和软件专业,硬件有微电子、电子科学技术什么的,还有自动控制,测控技术的人可能接触硬件更深。通信有些人都去搞通信算法了,回到核心是数学的地步了,不过数学不好的人,通信不太好驾驭。
下面的就更不好选了,弃谁都可惜了。数字图像处理需要用MATLAB来做,也可以用VHDL、Verilog移植到可编程逻辑器件里去。单片机和可编程逻辑器件属于硬件课了,不懂吧也不行,尤其是单片机,算是硬件基础了,但是抛去FPGA的话也不行啊,现在FPGA这么火。多媒体通信就算了,因为你必须要学通信原理,它是通信原理的延伸,多媒体讲的都是声音信号、图像视频信号什么的,但是这门课很没有实在意义,理论的事都是。无线传感器和无线网络不怎么样,如果你研究生阶段想搞这些到时候再说吧,只要你学了无线通信原理什么的就差不多了,无线的事你就有基础了。DSP技术和应用是数字信号处理里面的吧,学了数字信号处理,应该会有些实验课,我想实在选不了就算了,除非你学着学着感觉自己喜欢硬件到不能自拔,那就自己搞定去吧,偷偷的去听听课,替别人做做实验。微波技术是通信特有的吧,电子信息工程也学,但是其他专业不学,你一定会学电磁场理论,微波技术是它的延伸。说到数据库真是情何以堪,当时我应该学过,当时还傻,没往学习上整,白瞎了,当别人问我懂不懂数据库的时候,我想说讲课那个老师太不负责了,但是我忍了。感觉数据库,软件专业有个专门的方向就是,计算机专业也学过,咱就让让他们。MATLAB不管你选不选都要会,通信的不会MATLAB不是瞎胡闹吗。
我其实不太明白你的必修课,如果没有单片机那你在这里就要选上了,但是说课程设计,我觉得就那么回事吧,想学东西还是靠自己;如果图像处理不是必修,那你也选上吧;如果没有EDA什么的,可编程逻辑器件你就选上吧;如果数据库感兴趣就选上吧,但是估计学不到个啥;无线传感器和无线网络技术差不多,如果选的话二选一吧;电子设计设计什么打听下,万一好玩呢。
我分个类吧,给力的:数字图象处理、Matlab与仿真、可编程逻辑器件与VHDL语言、DSP技术及应用、无线网路;随便听听好考的:多媒体通信技术、数据库与信息系统、单片机课程设计、专业英语、无线传感器网络;我就纳闷了,专业英语也不必修,电子设计业不必修,微波技术也不必修、无线网路也不必修,不知何意,估计学校好,学生这些都懂,要不就是老师有远见,学多了也没啥用。毕业了编程牛逼,硬件玩的转,理论上有所长进就行了呗,还想怎么样。
不过说句实在话,通信的面太宽泛了,无线的原理和模型要学,无线的传输即微波要学,有线的网络和交换要学,信号的处理(通信调制信号、图像或视频)要学,单片机、DSP和FPGA要学;等到了研究生阶段就专攻了,其他都是知识面,曾经拥有,现在还就那么深,没怎么变。
说到底怎么选,问问宿舍的吧,一起上课当学生的日子就TMD那么美好。好好学,少打DOTA噢。

热点内容
java返回this 发布:2025-10-20 08:28:16 浏览:748
制作脚本网站 发布:2025-10-20 08:17:34 浏览:1012
python中的init方法 发布:2025-10-20 08:17:33 浏览:718
图案密码什么意思 发布:2025-10-20 08:16:56 浏览:878
怎么清理微信视频缓存 发布:2025-10-20 08:12:37 浏览:774
c语言编译器怎么看执行过程 发布:2025-10-20 08:00:32 浏览:1127
邮箱如何填写发信服务器 发布:2025-10-20 07:45:27 浏览:351
shell脚本入门案例 发布:2025-10-20 07:44:45 浏览:229
怎么上传照片浏览上传 发布:2025-10-20 07:44:03 浏览:911
python股票数据获取 发布:2025-10-20 07:39:44 浏览:875