当前位置:首页 » 编程软件 » ise编译

ise编译

发布时间: 2023-05-05 10:18:16

Ⅰ 自己在ise上编译程序,结果放到basys2开发板上,输出的信号与显示的信号不一致,为什么

在工程中双击相应的模块,自动进入设置界面啊。

Ⅱ ISE14.7编译不能生成bit文件,求大神解答

你的lisence只能综合,没有生成*.bit文件的权限,去官网申请一个或者找破解版。

Ⅲ Xilinx ISE多工程文件的原理图编译

一个topmole文件把子文件给串联起来,格式如下:
top mule:
------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity huo_3 is --3输入或门
port(a,b,c:in std_logic;
d:out std_logic);
end entity;

architecture art of huo_3 is

component huo is --对应将2输入或门的实体写进去,port里面一个字母都不能差
port(a,b:in std_logic;
c:out std_logic);
end component;

signal ab:std_logic;
begin
u1:huo port map(a=>a,b=>b,c=>ab); --对应将两个模块的端口连接起来,
u2:huo port map(a=>ab,b=>c,c=>d);
end art;

--------------------------------
子模块:
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity huo is
port(a,b:in std_logic;
c:out std_logic);
end entity;
architecture art of huo is
begin
c<=a or b;
end art;

Ⅳ 哪位能告诉下在ise 中编译 modelsim 仿真库的设置步骤吗,谢谢

开始->程序->xilinx *.*->ise->tools->simulation library ***(全名忘了)
打开那玩意,里面一步一步的提示很清楚的。
编译的话最好针对性选几项不要全选,否则会很慢的。
编好后在ise的project里面右键你的project进入project properties里,把仿真程序设定为modelsim

手边没环境,实验室不能上网~~只好这样语焉不详了

Ⅳ Xilinx ISE 编译时,place & route 很慢.

个人看法,有两种可能:
1. 你的工程占用资源较多,随着资源的消耗,如果工程很大,ISE需要反复将之前布线好的部分进行优化,以腾出空间给后面的逻辑,所以越到后来布通所花费的时间就越长;
2. 你的约束中有较为苛刻或是不合理的时序约束,ISE需要花大量的优化计算去满足你的约束。
欢迎讨论。

Ⅵ ise编译完成生成没成功

系统bug。ise编译是一款编写代码软件,该软件在编译完成后出现没成功提示,是系统bug的原因,只需要将该软件关闭后重新打开即可。

Ⅶ ISE和Modelsim编译仿真库的时候 到12%左右就出现这些问题了,下边还有好多类是的问题,烦死了!求助啊!

project加载有问题,似乎还有语法问题,可以现在modelsim中编译检查,成功之后再从xilinx ise中调用modelsim进行仿真

Ⅷ 如何提高ISE的编译速度

如果你的cpu够强你应该学会如何利用好它来加速你的代码编译速度,那么你怎么才能够最大限度让你的cpu发烧呢?

下面是一个对比:

比如我的cpu是i7 3770k,

编译cocos2d-x的libcocos2d工程:

不优化:

1>Time Elapsed 00:01:35.25

优化后:

1>Time Elapsed 00:00:21.66

效果显着!!!

参考网页:

Visual Studio 2010中C++并行构建调优(1)
http://developer.51cto.com/art/201003/189235.htm

1>cl : Command line warning D9030: '/Gm' is incompatible with multiprocessing; ignoring /MP switch

解决办法是:

Properties -> Configuration Properties -> C/C++ -> Code Generation -> Enable Minimal Rebuild -> No(/Gm-)

Properties -> Configuration Properties -> C/C++ -> Geneal -> Multi-processor Compilation -> Yes(/MP)

一些含义和拓展资料:

Enable minimal rebuild
通过保存关联信息到.IDB文件,使编译器只对最新类定义改动过的源文件进行重编译,提高编译速度

Enable Incremental Compilation
同样通过.IDB文件保存的信息,只重编译最新改动过的函数

/MP (Build with Multiple Processes)

http://msdn.microsoft.com/en-us/library/bb385193.aspx

/Gm (Enable Minimal Rebuild)

http://msdn.microsoft.com/en-us/library/kfz8ad09.aspx

Ⅸ xilinx ISE编译出错 out of date

先减少代码。直到不出现错误,然后增加代码,直到出现错误。先定位。

Ⅹ ise怎样编译

写好项目,文件之后,在左侧的Sources 点击主文件,下框自动显示Processes,请点击Implement Design的 “+” , 再右击 Implement Design, 选择 Run, Rerun 或者 Run All 即可!(参考版本Ise-V10.1)

热点内容
sql创建链接 发布:2025-07-08 00:08:38 浏览:397
ftp上传中断 发布:2025-07-08 00:08:37 浏览:639
linux云计算课程 发布:2025-07-08 00:07:23 浏览:21
安卓网易云怎么发布歌曲 发布:2025-07-07 23:42:29 浏览:625
安卓内存读取脚本 发布:2025-07-07 23:42:19 浏览:870
python27汉化 发布:2025-07-07 23:42:18 浏览:720
源码锁屏 发布:2025-07-07 23:26:52 浏览:941
手机版编程软件 发布:2025-07-07 22:57:22 浏览:121
linux下执行sh脚本 发布:2025-07-07 22:49:00 浏览:127
云盘怎么存储资料 发布:2025-07-07 22:49:00 浏览:915