当前位置:首页 » 编程软件 » ISE怎么编译部分

ISE怎么编译部分

发布时间: 2022-05-14 20:25:39

Ⅰ 如何提高ISE的编译速度

如果你的cpu够强你应该学会如何利用好它来加速你的代码编译速度,那么你怎么才能够最大限度让你的cpu发烧呢?

下面是一个对比:

比如我的cpu是i7 3770k,

编译cocos2d-x的libcocos2d工程:

不优化:

1>Time Elapsed 00:01:35.25

优化后:

1>Time Elapsed 00:00:21.66

效果显着!!!

参考网页:

Visual Studio 2010中C++并行构建调优(1)
http://developer.51cto.com/art/201003/189235.htm

1>cl : Command line warning D9030: '/Gm' is incompatible with multiprocessing; ignoring /MP switch

解决办法是:

Properties -> Configuration Properties -> C/C++ -> Code Generation -> Enable Minimal Rebuild -> No(/Gm-)

Properties -> Configuration Properties -> C/C++ -> Geneal -> Multi-processor Compilation -> Yes(/MP)

一些含义和拓展资料:

Enable minimal rebuild
通过保存关联信息到.IDB文件,使编译器只对最新类定义改动过的源文件进行重编译,提高编译速度

Enable Incremental Compilation
同样通过.IDB文件保存的信息,只重编译最新改动过的函数

/MP (Build with Multiple Processes)

http://msdn.microsoft.com/en-us/library/bb385193.aspx

/Gm (Enable Minimal Rebuild)

http://msdn.microsoft.com/en-us/library/kfz8ad09.aspx

Ⅱ Xilinx ip核生成后,怎样在ModelSim中仿真

1,ModelSim可以直接编译和添加Xilinx的库,目前的ise中(在开始菜单xilinx工具下找吧)直接有使用ModelSim编译库的工具。完成库的编译之后,就是添加库到ModelSim的仿真环境中,修改modelsim安装目录下的modelsim.ini,这样就完成了库的添加,在仿真时,仅需要填加生成ip的.v文件。
2,tb自己编写是最好,xilinx 有些ip是有部分的tb的,但是这些tb仅仅是帮助你了解ip的使用,不具有实用价值,比如mac ddr等

这里附上我N年前编译仿真库的笔记,那个时候ModelSim和ise的版本都是很早的版本,不过原理还是一样的

先得把modelsim.ini改为可写
在命令行模式下运行:
"compxlib -s mti_se -l all -f all -p D:\Modeltech_6.1c\win32"
编译好的库放在:
D:\Xilinx\10.1\ISE\vhdl\mti_se
D:\Xilinx\10.1\ISE\verilog\mti_se
编译好之后,modelsim.ini 增加下面内容

UNISIMS_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\unisims_ver
UNIMACRO_VER = d:\Xilinx\10.1\ISE\verilog\mti_se\unimacro_ver
UNI9000_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\uni9000_ver
SIMPRIMS_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\simprims_ver
XILINXCORELIB_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\XilinxCoreLib_ver
AIM_VER = d:\Xilinx\10.1\ISE\verilog\mti_se\abel_ver\aim_ver
CPLD_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\cpld_ver
SECUREIP =d:\Xilinx\10.1\ISE\verilog\mti_se\secureip
UNISIM = d:\Xilinx\10.1\ISE\vhdl\mti_se\unisim
UNIMACRO =d:\Xilinx\10.1\ISE\vhdl\mti_se\unimacro
SIMPRIM = d:\Xilinx\10.1\ISE\vhdl\mti_se\simprim
XILINXCORELIB =d:\Xilinx\10.1\ISE\vhdl\mti_se\XilinxCoreLib
AIM = d:\Xilinx\10.1\ISE\vhdl\mti_se\abel\aim
PLS = d:\Xilinx\10.1\ISE\vhdl\mti_se\abel\pls
CPLD = d:\Xilinx\10.1\ISE\vhdl\mti_se\cpld

Ⅲ Xilinx ISE 编译时,place & route 很慢.

个人看法,有两种可能:
1. 你的工程占用资源较多,随着资源的消耗,如果工程很大,ISE需要反复将之前布线好的部分进行优化,以腾出空间给后面的逻辑,所以越到后来布通所花费的时间就越长;
2. 你的约束中有较为苛刻或是不合理的时序约束,ISE需要花大量的优化计算去满足你的约束。
欢迎讨论。

Ⅳ xilinx ise编译时对文件名有要求吗

跟一般的英文软件一样的,没什么特殊要求。只能英语开头,另外部分可以下划线和数字

Ⅳ 哪位能告诉下在ise 中编译 modelsim 仿真库的设置步骤吗,谢谢

开始->程序->xilinx *.*->ise->tools->simulation library ***(全名忘了)
打开那玩意,里面一步一步的提示很清楚的。
编译的话最好针对性选几项不要全选,否则会很慢的。
编好后在ise的project里面右键你的project进入project properties里,把仿真程序设定为modelsim

手边没环境,实验室不能上网~~只好这样语焉不详了

Ⅵ ISE编译报错不是一个port

ISE编译报错不是一个port。
这里按错误代码的数字顺序进行排列,方便大家查找,或者使用【Ctrl+F】快速查找。
出现错误代码的情况,大抵有3方面的因素:安装包是你在某某某地方下载的,也许可能大概是有些人动过手脚的并非纯净的安装包;
安装方式错误:windows系统的童鞋可以参考我之前排版丑到爆的推送;
原文中此处为链接,暂不支持采集;
mac OS X系统的童鞋大部分会在Creative Cloud中进行下载安装,但是在Creative Cloud中下载由于各种限制,网络不稳定且慢,建议进入官网直接对试用版安装包进行下载。

Ⅶ 在ise中,当编写好某一元件的代码后,怎么生成原理图

先check syntax(检查语法),通过后,没有问题就可以synthesize(综合),然后就可以看到rtl级的原理图,在左边processes的框框里面,点synthesize里面的VIEW RTL schematic。如果你要把这个编译完成的mole生成一个原理图原件,就在design Utilities下面点Create Schematic Symbol,可以了,它就变成了一个原理图的小元件,把它放在原理图上面,双击,可以看到原件里面的原理图结构。

Ⅷ 如何用ModelSim se完全编译Xilinx库文件

Modlesim 仿真库的建立:
将Modelsim根目录下的modelsim.ini的属性由只读改为可写。
新建一个文件夹,比如library(为叙述方便,把它放在modelsim的根目录下)。D:/modelsim/library.
启动Modelsim,选择[File]/[chang Directory],选择D:/modelsim/library.

选择[File]/[New]/[library]命令,弹出[Creat a New library],在[lihrary Name]中输入“simprims_ver”,同时下一栏也自动输入“simprims_ver”,单击OK。

在主窗口中选择[compile]/[Compile]命令,弹出[compile Source Files],在[Library]的下拉列表中选择“simprims_ver”在[查找范围]中选中[Xilinx/veriog/src/simprims]目录下的全部文件,单击complie进行编译。(这时可能会花你一些时间,耐心等待编译完毕)用同样的方法将unisims和Xilinxcorelib三个仿真库进行编译。

这时在D:/modelsim/library 下就有以上三个仿真库。

7.总结步骤为a:建立库的放置路径b:对库进行编译c:对库进行映射。最后重新启动Modelsim可以在列表中看到建立的三个库。


那么这个办法明显是比较麻烦的。其实我们可以这样做;


首先将modelsim.ini文件只读模式去掉,存档前面打对勾。
在您安装ise的目录下,进入到bin t目录下,例如e:ise6in t,确认有compxlib这个程序
在cmd中运行compxlib -s mti_se -f all -l all -o e:modeltech_6.0xilinx_libs就可以了,e:modeltech_6.0是我安装modelsim的目录,您可以作相应的更改。参数也可以按照您的要求作相应的更改。

这样就可以了。

需要注意的是,千万记住ise和modelsim的安装目录都不要出现空格,最好是直接安装在根目录下


modelsim下编译xilinx库的方法

这几天,建库的问题比较多,写一个建库的方法。
所用软件:ISE7.1i+ModelsimSE6.0
语言:VHDL
首先安装软件。注意:ISE,Modelsim的安装路径不能含有空格。
另外,Modelsim的安装路径可设为“$:/modelsim”,其中$为盘符,不要使用默认的安装路径。
将Modelsim根目录下的modelsim.ini文件的只读属性去掉。
在modelsim的命令窗口中输入命令“compxlib -s mti_se -arch all -l vhdl -w -lib all”,按回车键即可。
编译完成后,将Modelsim根目录下的modelsim.ini文件的属性设置为只读。
关于“compxlib”命令各项参数的含义,请在modelsim的命令窗口中输入“compxlib -help”查询

把库建好后,接下来的事情就是使它成为modelsim的标准库。这只要修改modelsim安装目录下的modelsim.ini文件就可以了。修改后的内容如下:
[Library]
std = $MODEL_TECH/../std
ieee = $MODEL_TECH/../ieee
verilog = $MODEL_TECH/../verilog
vital2000 = $MODEL_TECH/../vital2000
std_developerskit = $MODEL_TECH/../std_developerskit
synopsys = $MODEL_TECH/../synopsys
modelsim_lib = $MODEL_TECH/../modelsim_lib
simprim_ver = G:/EDA/Xilinx/simprim_ver(库的路径,以下同)
unisim_ver = G:/EDA/Xilinx/unisim_ver
xilinxcorelib_ver = G:/EDA/Xilinx/xilinxcorelib_ver
注意的是,这个文件是只读属性。修改之前要把这个属性去掉。
第六步:关掉工程,重启modelsim。查看这3个库是否在library框里面。


二、 在ISE环境下,调用synplify,生成后仿真所需要的文件。
之所以要在ISE环境下调用synplify,主要是因为方便!我也尝试过在synplify环境下综合设计文件,然后在ISE里编译synplify生成的edif文件。但是不成功。ISE在第三方工具支持方面做的是比较好的,感觉跟用ISE直接综合一样。不过有一个缺点是看不了RTL原理图。你可以在synplify中打开ISE生成的synplify工程文件,解决在ISE中不方便查看synplify综合结果的问题。现在,就要开始第二个大步骤了!
第一步:创建ISE工程文件。选择好器件。注意Design Flow中一定要选择Synplify Pro Verilog。
第二步:综合设计文件,也就是verilog文件。
ISE就会自动调用synplify。(如果没有的话,那可能是你的系统环境变量没有设置好)。此时会弹出一个对话框,要你选择synplify的liscense。(这步本来不用说的。如果没有对话框弹出来的话,也不要紧)随便选择一个,就等结果了。

第三步:生成后仿真需要的文件。
我们可以看到在Implement Design中有三个大分支,这对应着三种仿真。按你的需要按下相应的图标,生成modelsim后仿真所需要的文件,下面对生成的文件和生成这些文件的图标进行说明。

第三步:在弹出的对话框里,选择SDF项。把ISE生成的SDF文件添加进出。如下图:

记住Apply to Region这一项要写好。它对应的是你的tb文件(就是测试文件)调用的顶层模块名。(不是顶层模块名!!)比如你的测试文件是text,例化顶层模块top为i_top,那你应该这样填:text/i_top或者/text/i_top。如果是第一种仿真,此步可以省略。

第四步:添加library。
我们创建的那三个库终于派上用场了!我们要添加的就是这3个。选择library项,添加这3个库。你的库建在哪里,就去哪里找!这个也不用说了吧

第五步:选择要仿真的模块。
你先不要急,看清楚再选。(有些朋友性子急,驾轻就熟就选了)
我们要选的仿真模块可不止一个,如下图,用CTRL键实现!!选了之后点0k!!

热点内容
小鸟酱265g资源密码多少啊 发布:2024-05-03 21:32:08 浏览:651
三国战纪游戏华为帐号密码是多少 发布:2024-05-03 21:22:54 浏览:948
变频压缩机启动 发布:2024-05-03 21:17:06 浏览:434
建立云存储 发布:2024-05-03 21:04:03 浏览:74
socket编程php 发布:2024-05-03 20:12:50 浏览:207
坦洲邮政局可以解压吗 发布:2024-05-03 20:09:55 浏览:732
二级程序编译答案 发布:2024-05-03 18:41:35 浏览:654
领动自动精英版是哪个配置 发布:2024-05-03 18:37:30 浏览:151
java编译器中cd什么意思 发布:2024-05-03 18:36:00 浏览:390
传奇服务器如何刷钱 发布:2024-05-03 18:36:00 浏览:978