串口存儲器
㈠ 電腦bios存儲器是串口輸入
1. 在BIOS設置中檢查串口是否設置為「enabled」。使用SiO測試程序檢查是否正常。
3. 檢查串列設備是否正確連接。
4. 如果是串列滑鼠,請檢查內置觸摸板是否在BIOS設置中關閉;檢查串列滑鼠是否在Windows 98或me的設備管理器中被識別;檢查串列滑鼠驅動程序是否安裝正確。
5. 更換串列設備。
6. 檢查主板上的南橋晶元有無虛焊和虛焊。
7. 裝回主板。
㈡ 51單片機的串口通信中,SBUF存儲器是多少位的 8位還是16位
SBUF 是8位元組的,地址為99H。
㈢ 存儲設備的管理網口,維護網口和串口之間功能的區別
管理網口:維護終端的網口通過網線連接存儲設備的管理網口,建立管理通道,實現維護終端對存儲設備的管理和維護。
維護網口:維護網口在用於管理維護功能時,僅限華為技術支持工程師在緊急情況下的特殊維護使用,且不能與管理網口接入同一網路,否則可能會導致網路回環,造成網路風暴。
請勿將管理網口和維護網口連接於同一個交換機
串口:維護終端的串口通過串口線纜連接存儲設備的串口,現維護終端對存儲設備的管理和維護。
㈣ 串列介面的EEPROM存儲器、串列介面的Flash存儲器、並行介面的Flash存儲器的特點
串列EEPROM: 容量小,速度慢。有點可以對每一個位元組單獨修改,而且可不需要擦除指令。比如:AT24C系列。
串列flash:操作速度慢,數據不能單位元組修改,如果修改的位元組中有將位從0變為1,則在寫入前需按頁進行擦除再寫入。當前頁擦除前有用的數據需要備份。優點容量較大。
並行flash:使用8位或者16位數據匯流排,進行操作,操作速度較塊,其他特點和串列flash相似。
㈤ 迪文T5L系列串口屏的片內FLASH存儲空間如何布局利用
迪文T5L系列串口屏的片內FLASH存儲空間大小一般採用16Mbytes和8Mbytes,以及部分用戶為了降低成本的4Mbytes,16Mbytes Flash 存儲器可以看作是分割成 64 個容量固定為 256KB 的子空間,可存放的文件 ID 號范圍為 0-63(8Mbtes和4Mbytes的Flash存放文件ID號范圍分別為0-31,0-15)。存儲器根據儲存的文件內容不同,主要分為兩部分:
(1)4-12MB 的字型檔空間,可以保存 BIN、HZK、DZK 格式文件,文件 ID 范圍 00~47。
(2)4-12MB 的圖片空間,可以保存背景圖片庫 ICL 文件、圖標庫 ICL 文件存儲空間,文件 ID 范圍 16~63。
注意字型檔空間和圖片空間有重疊部分,ID 命名時需注意避免沖突。迪文T5L系列串口屏的片內FLASH存儲空間大小一般採用16Mbytes和8Mbytes,以及部分用戶為了降低成本的4Mbytes,16Mbytes Flash 存儲器可以看作是分割成 64 個容量固定為 256KB 的子空間,可存放的文件 ID 號范圍為 0-63(8Mbtes和4Mbytes的Flash存放文件ID號范圍分別為0-31,0-15)。存儲器根據儲存的文件內容不同,主要分為兩部分:
(1)4-12MB 的字型檔空間,可以保存 BIN、HZK、DZK 格式文件,文件 ID 范圍 00~47。
(2)4-12MB 的圖片空間,可以保存背景圖片庫 ICL 文件、圖標庫 ICL 文件存儲空間,文件 ID 范圍 16~63。
注意字型檔空間和圖片空間有重疊部分,ID 命名時需注意避免沖突。
㈥ 串口sram如何是用在單片機外擴ram資源上容量可以達到多大
外部sram是指連接在單片機外部的靜態RAM(SRAM),外部SRAM存儲器有不少種類,對於外部SRAM的選擇是由應用需求的性質決定的,以下是幾種外部SRAM的種類:
非同步SRAM---由於其不依靠時鍾,所以算是速度最慢的一種SRAM
同步SRAM---同步SRAM運行同步於一個時鍾信號,速度比非同步SRAM快,相對價格比較貴,
偽SRAM—反應時間短,偽SRAM 有傳統SRAM的介面,同時需要一個專門的控制器才可以將其低反應時間的優勢發揮出來
而如果需要串口SRAM,一般屬於偽SRAM,封裝SOP-8,八個引腳的設計可以滿足大多數單片機的設計要求,功耗相對於同步非同步SRAM高了一些,同樣適用電池供電的的產品,容量方面的話,如VTI科技公司推出的VTI7064存儲晶元容量可以在64Mbit。
㈦ 存儲器的擴展方式哪三種
存儲器的擴展方式有字擴展、位擴展、字位同時擴展。存儲器晶元與單片機擴展連接具有共同的規律。即不論何種存儲器晶元,其引腳都呈三匯流排結構,與單片機連接都是三匯流排對接。另外,電源線接電源線,地線接地線。
目前生產的存儲器晶元容量有限,在字數或字長方面與實際存儲器要求有所差距,所以要在字向與位向兩方面進行擴充,才能滿足實際存儲器的要求。
cpu對存儲器進行讀寫操作時,首先由地址匯流排給出地址信號,然後再發出有關進行讀操作與寫操作的控制信號,最後在數據匯流排上進行信息交換。
(7)串口存儲器擴展閱讀:
存儲器的擴展技術:
總片數=總容量/(容量/片)。
例:存儲器容量為8K×8b,若選用2114晶元(1K×4b),則需要的晶元數為:(8K×8b)/(1K×4b)=16(片)。
(1)位擴展。
只在位數方向擴展(加大字長),而晶元的字數和存儲器的字數是一致的。即b前面不一樣,K前面保持一樣。
例:用64K×1b的SRAM晶元組成64K×8b的存儲器,所需晶元數為:(64K×8b)/(64K×1b)=8(片)。
位擴展的關鍵就是將兩個存儲晶元當成一個存儲晶元來用,讓兩個存儲晶元同時工作,同時被選中,同時做讀操作,同時做寫操作,要想保證同時,就是把兩個晶元的片選,用相同的信號進行連接。
(2)字擴展。
僅在字數方向擴展,而位數不變。即K前面不一樣,b前面保持一樣。
例:用16K×8b的SRAM組成以64K×8b的存儲器,所需晶元數為:(64K×8b)/(16K×8b)=4(片)。
(3)字和位同時擴展。
參考資料來源:網路-位擴展
參考資料來源:網路-字擴展
㈧ 在FPGA內部做一個1k大小的存儲器,串口首先通過計算機將1k數據送給FPGA,然後,FPGA再通過串口送給計算機
,供你參考吧。
1. 頂層程序與模擬
(1)頂層程序
--文件名:top.vhd。
--功能:頂層映射。
--最後修改日期:2004.3.24。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity top is
Port (clk32mhz,reset,rxd,xmit_cmd_p_in:in std_logic; --總的輸入輸出信號的定義
rec_ready,txd_out,txd_done_out:out std_logic;
txdbuf_in:in std_logic_vector(7 downto 0); --待發送數據輸入
rec_buf:out std_logic_vector(7 downto 0)); --接收數據緩沖
end top;
architecture Behavioral of top is
component reciever
Port (bclkr,resetr,rxdr:in std_logic;
r_ready:out std_logic;
rbuf:out std_logic_vector(7 downto 0));
end component;
component transfer
Port (bclkt,resett,xmit_cmd_p:in std_logic;
txdbuf:in std_logic_vector(7 downto 0);
txd:out std_logic;
txd_done:out std_logic);
end component;
component baud
Port (clk,resetb:in std_logic;
bclk:out std_logic);
end component;
signal b:std_logic;
begin
u1:baud port map(clk=>clk32mhz,resetb=>reset,bclk=>b); --頂層映射
u2:reciever port map(bclkr=>b,resetr=>reset,rxdr=>rxd,r_ready=>rec_ready,
rbuf=>rec_buf);
u3:transfer port map(bclkt=>b,resett=>reset,xmit_cmd_p=>xmit_cmd_p_in,
txdbuf=>txdbuf_in,txd=>txd_out,txd_done=>txd_done_out);
end Behavioral;
(2)程序模擬
模擬波形圖如圖8.8.5所示。
圖8.8.5 模擬波形
2. 波特率發生器程序與模擬
(1)波特率發生器VHDL程序
--文件名:baud.vhd.
--功能:將外部輸入的32MHz的信號分成頻率為153600Hz的信號。
--最後修改日期:2004.3.24。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity baud is
Port (clk,resetb:in std_logic;
bclk:out std_logic);
end baud;
architecture Behavioral of baud is
begin
process(clk,resetb)
variable cnt:integer;
begin
if resetb='1' then cnt:=0; bclk<='0'; --復位
elsif rising_edge(clk) then
if cnt>=208 then cnt:=0; bclk<='1'; --設置分頻系數
else cnt:=cnt+1; bclk<='0';
end if;
end if;
end process;
end Behavioral;
(2)程序模擬
模擬波形如圖8.8.6所示。
圖8.8.6 波特率發生器的模擬波形
3. UART發送器程序與模擬
(1)UART發送器VHDL程序
--文件名:transfer.vhd。
--功能:UART發送器。
--說明:系統由五個狀態(x_idle,x_start,x_wait,x_shift,x_stop)和一個進程構成。
--最後修改日期:2004.3.24。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity transfer is
generic(framlent:integer:=8);
Port (bclkt,resett,xmit_cmd_p:in std_logic; --定義輸入輸出信號
txdbuf:in std_logic_vector(7 downto 0):="11001010";
txd:out std_logic;
txd_done:out std_logic);
end transfer;
architecture Behavioral of transfer is
type states is (x_idle,x_start,x_wait,x_shift,x_stop); --定義個子狀態
signal state:states:=x_idle;
signal tcnt:integer:=0;
begin
process(bclkt,resett,xmit_cmd_p,txdbuf) --主控時序、組合進程
variable xcnt16:std_logic_vector(4 downto 0):="00000"; --定義中間變數
variable xbitcnt:integer:=0;
variable txds:std_logic;
begin
if resett='1' then state<=x_idle; txd_done<='0'; txds:='1'; --復位
elsif rising_edge(bclkt) then
case state is
when x_idle=> --狀態1,等待數據幀發送命令
if xmit_cmd_p='1' then state<=x_start; txd_done<='0';
else state<=x_idle;
end if;
when x_start=> --狀態2,發送信號至起始位
if xcnt16>="01111" then state<=x_wait; xcnt16:="00000";
else xcnt16:=xcnt16+1; txds:='0'; state<=x_start;
end if;
when x_wait=> --狀態3,等待狀態
if xcnt16>="01110" then
if xbitcnt=framlent then state<=x_stop; xbitcnt:=0;
else state<=x_shift;
end if;
xcnt16:="00000";
else xcnt16:=xcnt16+1; state<=x_wait;
end if;
when x_shift=>txds:=txdbuf(xbitcnt); xbitcnt:=xbitcnt+1; state<=x_wait; --狀態4,將待發數據進行並串轉換
when x_stop=> --狀態5,停止位發送狀態
if xcnt16>="01111" then
if xmit_cmd_p='0' then state<=x_idle; xcnt16:="00000";
else xcnt16:=xcnt16; state<=x_stop;
end if; txd_done<='1';
else xcnt16:=xcnt16+1; txds:='1'; state<=x_stop;
end if;
when others=>state<=x_idle;
end case;
end if;
txd<=txds;
end process;
end Behavioral;
UART發送器的模擬波形如圖8.8.7所示。
圖8.8.7 UART發送器的模擬波形
4. UART接收器程序與模擬
(1)UART接收器VHDL程序
--文件名:reciever.vhd。
--功能:UART接受器。
--說明:系統由五個狀態(r_start,r_center,r_wait,r_sample,r_stop)和兩個進程構成
--最後修改日期:2004.3.24。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity reciever is
generic(framlenr:integer:=8);
Port (bclkr,resetr,rxdr:in std_logic; --定義輸入輸出信號
r_ready:out std_logic;
rbuf:out std_logic_vector(7 downto 0));
end reciever;
architecture Behavioral of reciever is
type states is (r_start,r_center,r_wait,r_sample,r_stop); --定義各子狀態
signal state:states:=r_start;
signal rxd_sync:std_logic;
begin
pro1:process(rxdr)
begin
if rxdr='0' then rxd_sync<='0';
else rxd_sync<='1';
end if;
end process;
pro2:process(bclkr,resetr,rxd_sync) --主控時序、組合進程
variable count:std_logic_vector(3 downto 0); --定義中間變數
variable rcnt:integer:=0;
variable rbufs:std_logic_vector(7 downto 0);
begin
if resetr='1' then state<=r_start; count:="0000"; --復位
elsif rising_edge(bclkr) then
case state is
when r_start=> --狀態1,等待起始位
if rxd_sync='0' then state<=r_center; r_ready<='0'; rcnt:=0;
else state<=r_start; r_ready<='0';
end if;
when r_center=> --狀態2,求出每位的中點
if rxd_sync='0' then
if count="0100" then state<=r_wait; count:="0000";
else count:=count+1; state<=r_center;
end if;
else state<=r_start;
end if;
when r_wait=> --狀態3,等待狀態
if count>="1110" then
if rcnt=framlenr then state<=r_stop;
else state<=r_sample;
end if;
count:="0000";
else count:=count+1; state<=r_wait;
end if;
when r_sample=>rbufs(rcnt):=rxd_sync; rcnt:=rcnt+1;state<=r_wait;
--狀態4,數據位采樣檢測
when r_stop=>r_ready<='1'; rbuf<=rbufs; state<=r_start; --狀態4,輸出幀接收完畢信號
when others=>state<=r_start;
end case;
end if;
end process;
end Behavioral;
㈨ 計算機串口與並口的區別、聯系
串口,是串列傳輸介面
並口,是並行傳輸介面
串口與並口
最大的區別就是速度不一樣,串口的快。
串口的硬碟連接線細,和小手指差不多寬,並口的連接線比較寬,和你三個手指並一起差不多寬。
串口一般用於接一些特殊的外接設備。比如通訊方面的設備。並口通常用於連接列印設備。串口比較小,有突出的針露在外面。並口一般比串口要大,通常是紅色的,有兩排小孔
串口形容一下就是 一條車道,而並口就是有8個車道
同一時刻能傳送8位(一個位元組)數據。
但是並不是並口快,由於8位通道之間的互相干擾。傳輸受速度就受到了限制。而且當傳輸出錯時,要同時重新傳8個位的數據。串口沒有干擾,傳輸出錯後重發一位就可以了。所以快比並口快。串口硬碟就是這樣被人們重視的。
計算機上有串口和並口的地方應該有:硬碟、主板、還有列印機等。串口一般用於接一些特殊的外接設備。比如通訊方面的設備。並口通常用於連接列印設備。串口比較小,有突出的針露在外面。並口一般比串口要大,通常是紅色的,有兩排小孔
串口形容一下就是 一條車道,而並口就是有8個車道
同一時刻能傳送8位(一個位元組)數據。
但是並不是並口快,由於8位通道之間的互相干擾。傳輸受速度就受到了限制。而且當傳輸出錯時,要同時重新傳8個位的數據。串口沒有干擾,傳輸出錯後重發一位就可以了。所以快比並口快。串口硬碟就是這樣被人們重視的。
串口和並口是連接外設的不同埠。這兩種埠的外形、傳輸速度和可以連接的設備都有所不同。
串口傳輸是一位接一位的,象串起的珠子一樣
並口是可以並發數據的可以同時傳輸多位。
現在有串列的硬碟SATA介面,是一樣的道理,它之所以可以150MB/s的速度傳輸,得益於其串列的方式,並行的幾路信號在比較高的頻率下不能很好的解決他們之間的干擾,所以現在ATA 13MBb/s的並行硬碟已走到極限,取而代之的是STAT。另80 channel 的ATA100的並口硬碟數據線,其中有40根是地線,是用來防止並行信號之間的干擾的。
STAT那個速度標稱的bit/s,實際就是150M/300M的速度
現在最快的單塊硬碟的速度也不足100MB/s
常見的都在40-60MB/s的速度,
切記!!!介面不是瓶頸
《並口和串口有什麼區別》
RS-232串列介面定義
計算機側為25針公插:
設備側為25針母插:
引腳定義
Pin Name ITU-T Dir Description
1 GND 101 Shield Ground
2 TXD 103 Transmit Data
3 RXD 104 Receive Data
4 RTS 105 Request to Send
5 CTS 106 Clear to Send
6 DSR 107 Data Set Ready
7 GND 102 System Ground
8 CD 109 Carrier Detect
9 - - RESERVED
10 - - RESERVED
11 STF 126 Select Transmit Channel
12 S.CD ? Secondary Carrier Detect
13 S.CTS ? Secondary Clear to Send
14 S.TXD ? Secondary Transmit Data
15 TCK 114 Transmission Signal Element Timing
16 S.RXD ? Secondary Receive Data
17 RCK 115 Receiver Signal Element Timing
18 LL 141 Local Loop Control
19 S.RTS ? Secondary Request to Send
20 DTR 108 Data Terminal Ready
21 RL 140 Remote Loop Control
22 RI 125 Ring Indicator
23 DSR 111 Data Signal Rate Selector
24 XCK 113 Transmit Signal Element Timing
25 TI 142 Test Indicator
PC/AT 機上的串列口是 9 針公插座,引腳定義為:
Pin Name Dir Description
1 CD Carrier Detect
2 RXD Receive Data
3 TXD Transmit Data
4 DTR Data Terminal Ready
5 GND System Ground
6 DSR Data Set Ready
7 RTS Request to Send
8 CTS Clear to Send
9 RI Ring Indicator
PC/XT 機上的串列口是 25 針公插座,引腳定義為:
Pin Name Dir Description
1 SHIELD - Shield Ground
2 TXD Transmit Data
3 RXD Receive Data
4 RTS Request to Send
5 CTS Clear to Send
6 DSR Data Set Ready
7 GND - System Ground
8 CD Carrier Detect
9 n/c -
10 n/c -
11 n/c -
12 n/c -
13 n/c -
14 n/c -
15 n/c -
16 n/c -
17 n/c -
18 n/c -
19 n/c -
20 DTR Data Terminal Ready
21 n/c -
22 RI Ring Indicator
23 n/c -
24 n/c -
25 n/c -
PC 並行介面定義
PC 並行介面外觀是 25 針母插座:
Pin Name Dir Description
1 /STROBE Strobe
2 D0 Data Bit 0
3 D1 Data Bit 1
4 D2 Data Bit 2
5 D3 Data Bit 3
6 D4 Data Bit 4
7 D5 Data Bit 5
8 D6 Data Bit 6
9 D7 Data Bit 7
10 /ACK Acknowledge
11 BUSY Busy
12 PE Paper End
13 SEL Select
14 /AUTOFD Autofeed
15 /ERROR Error
16 /INIT Initialize
17 /SELIN Select In
18 GND Signal Ground
19 GND Signal Ground
20 GND Signal Ground
21 GND Signal Ground
22 GND Signal Ground
23 GND Signal Ground
24 GND Signal Ground
25 GND Signal Ground
http://www.jara.cn/bbs/detail.asp?id=332
---------------
什麼是並口?就是電腦上專門用於連接列印機的插座,25根插針的大傢伙。別看大,他的速度可讓人不敢恭維,經過增強設計的並口傳輸速度"高達"115200bps 。
---------------
HP DeskJet 450CBI 使用的並口線是什麼型號?
答:HP DeskJet 450CBI 使用的並口線型號是:C8231A。
--------------
並口針腳的定義是什麼?
25針並口的針腳定義:
針腳 功能
1 選通 (STROBE低電平)
2 數據位0 (DATAO)
3 數據位1 (DATA1)
4 數據位2 (DATA2)
5 數據位3 (DATA3)
6 數據位4 (DATA4)
7 數據位5 (DATA5)
8 數據位6 (DATA6)
9 數據位7 (DATA7)
10 確認 (ACKNLG低電平)
11 忙 (BUSY)
12 卻紙 (PE)
13 選擇 (SLCT)
14 自動換行 (AUTO FEED低電平)
15 錯誤觀點(ERROR低電平)
16 初始化成(INIT低電平)
17 選擇輸入 (SLCT IN低電平)
8-25 地線(GND)
(http://www.hotnb.com/dispbbs.asp?boardid=12&id=7091)
---------------
把並口設置為epp是什麼意思?
目前主要有三種類型的並口:Normal、EPP、ECP。
其中Normal口又分為:4bit、8bit、半8bit等幾類。總的來說,Normal是一種低速的並口模式,適合將結果輸出送到列印機上。但是在兩個PC並行口之間傳送數據時,數椐傳輸速度就發生了變化,4bit口一次可以輸出8bit數據,但是一次只能輸入4bit數據(大約是40KB/s)。8bit和半8bit口—次可以輸出和輸入8bit(80kb/s或更多)。
EPP口(Enhanced-ParallelPort):增強並行口。目的是在外部設備間進行雙向通信。自1991年開始筆記本電腦率先配備有EPP口。
ECP口(Extended-CapabilitiesPort):擴展並行口。它具步驟EPP一樣高的速率和雙向通信能力,但在多任務環境下,它能使用DMA(直接存儲器訪問)方式,所需緩沖區也不大。
目前主板都支持以上三類並口模式。
並行介面(Parallel Port/Interface)
-----
串口最慢,然後並口、USB11.1、USB2.0
最快串口一般默認速度是9600bps。
bps比特率表示(bit per second)
並口速度是115200bps
USB1.1速度為12Mbps
USB2.0速度為480Mbps
--------
有並口(也有稱之為IEEE 1284,Centronics)
串口(也有稱之為RS-232介面的)
-------
IEEE 1284 parallel interface standard
並行介面標准
IEEE 1284並行介面標準是用於將列印機或其它並行設備或設備介面連接於計算機的目前流行標准。所謂並行設備是指一次可以輸送8位數據的設備。它的物理連接和過去的Centronics介面很象。然而Centronics介面僅僅允許數據在一個方向上傳送,只准從計算機到外設備,而IEEE 1284標准支持雙向數據傳輸。
在Centronics並行介面出現時,主要的外設是列印機,在此以後並行設備如光碟機,磁帶機層出不窮,它們都採用並行介面作為與計算機通信的介面。這些新設備的產生促使生產廠商改進Centronics並行介面。在1991年Lexmark公司,IBM公司和德州儀器共同討論了一個標准支持在並行介面上的高速雙向數據傳輸。它們的努力最終使IEEE 1284委員會得以產生,也使IEEE 1284標准在1994年得以發布。
IEEE 1284標准指出了五種操作模式,每種模式都提供單向(向外設和向主機)和雙向的數據傳輸。兼容模式是原來的Centronics並行介面,主要用於點陣式列印機和老式的激光列印機,這種模式也可以用於針式列印機數據的雙向傳輸。針式模式允許數據回送給計算機,回送的數據通過狀態線將2個針的信息(也就是4位數據)在兩個數據傳輸周期內回送給計算機。這種模式最適用於列印機。位元組模式使用軟體驅動程序使控制數據線(這根數據線與針式模式中的狀態線功能一樣,是將列印機數據回送給計算機的)的驅動器不可用。與針式模式不同的是,數據在傳送回計算機時與計算機傳送數據到外設的速率是相同的,不需要兩個數據傳送周期,而只需要一個數據傳送周期。ECP(Enhanced Capability Port mode,增強埠)模式是為使用列印機和掃描儀而提供的高級介面。它允許對圖象數據進行壓縮,支持FIFO隊列傳送,它是高速的雙向傳輸介面,它的傳輸速度可達每秒4M,ECP模式的一個重要特點是通道編址,通過編址可以使計算機同時使用多個外設。假設列印機和數據機同時要使用傳送線路,可以通過ECP模式指定一個新的通道,使它們兩個同時進行工作。EPP(Enhanced Parallel Port mode,增強並行口模式)是由Intel,Xircom和Zenith Data公司設計用於高性能並行介面的,這種介面可以和標準的介面共同工作。EPP模式也被作為IEEE 1284標準的一部分。EPP模式使用數據傳送周期在計算機和外設間傳送數據,它可以雙向傳送數據,EPP模式使用定址周期指定地址,通道或發送命令。這就使得信息的傳送速度可達每秒500K到2M,具體的傳送速率要根據那個速度比較慢的介面而定。EPP模式適用於網路適配器,活動硬碟和其它高速設備使用。計算機在使用埠前必須決定使用哪一種模式,這要根據與之相連的外設的物理特性決定。通過在介面上和外設交換信息,老設備不會在介面上應答,因此老設備只能在原來的模式上運行,而新設備因為可以在介面上進行應答,因此可以被設備為速度更快的模式。
---------
什麼是Centronics介面?
答:Centronics是用於連接列印機的並行介面,是一種用三線信號交互的8位並行介面。這種介面不支持外圍設備選址,因此在輸出端只能接一個設備。
Centronics 並行介面使用了36管腳的Amphenol57系列的接頭,最大接線距離一般不大於5m, 而且數據只能單向傳送。
PC機為使並行介面與RS-232使用同一種接頭DB-25,把36腳的Centronics 接頭改成了只有25腳的接頭。因此,PC機與列印機連接是由一根25芯到36芯轉換電纜完成的
------
LPT是並行通訊介面。目前微型計算機上常用的並行介面標準是Centronics介面,該介面使用36個引腳的Amphenol57系列接頭,但在計算機LPT埠則使用的是25個引腳的DB-25接頭,將原來的36根線號線省去了很多電源及接地線,減少到25根。
㈩ 什麼是USART串口
USART串口,就是通用的、既支持同步也支持非同步的接收、發送電子「模塊」。在晶元內部,與SPI、I2C一起構成單片機的匯流排「枝幹」,對於串口,就是指串列通信的介面。
呵呵,這個么簡單的問題,也不知道你想知道什麼