ise程序編譯怎麼弄
❶ ise編譯後還需要編譯
在使用ISE進行modelism模擬前,要先對模擬庫進行編譯。
編譯:標號為1的是全編譯,即從頭開始編譯,而有的時候我們只修改了一小部分代碼,所以編譯的時候不用全編譯。
❷ 如何提高ISE的編譯速度
如果你的cpu夠強你應該學會如何利用好它來加速你的代碼編譯速度,那麼你怎麼才能夠最大限度讓你的cpu發燒呢?
下面是一個對比:
比如我的cpu是i7 3770k,
編譯cocos2d-x的libcocos2d工程:
不優化:
1>Time Elapsed 00:01:35.25
優化後:
1>Time Elapsed 00:00:21.66
效果顯著!!!
參考網頁:
Visual Studio 2010中C++並行構建調優(1)
http://developer.51cto.com/art/201003/189235.htm
1>cl : Command line warning D9030: '/Gm' is incompatible with multiprocessing; ignoring /MP switch
解決辦法是:
Properties -> Configuration Properties -> C/C++ -> Code Generation -> Enable Minimal Rebuild -> No(/Gm-)
Properties -> Configuration Properties -> C/C++ -> Geneal -> Multi-processor Compilation -> Yes(/MP)
一些含義和拓展資料:
Enable minimal rebuild
通過保存關聯信息到.IDB文件,使編譯器只對最新類定義改動過的源文件進行重編譯,提高編譯速度
Enable Incremental Compilation
同樣通過.IDB文件保存的信息,只重編譯最新改動過的函數
/MP (Build with Multiple Processes)
http://msdn.microsoft.com/en-us/library/bb385193.aspx
/Gm (Enable Minimal Rebuild)
http://msdn.microsoft.com/en-us/library/kfz8ad09.aspx
❸ 如何在modelsim中編譯xilinx的庫文件
將Modelsim根目錄下的modelsim.ini的屬性由只讀改為可寫。
新建一個文件夾,比如library(為敘述方便,把它放在modelsim的根目錄下)。D:/modelsim/library.
啟動Modelsim,選擇[File]/[chang
Directory],選擇D:/modelsim/library.
選擇[File]/[New]/[library]命令,彈出[Creat a New
library],在[lihrary
Name]中輸入「simprims_ver」,同時下一欄也自動輸入「simprims_ver」,單擊OK。
在主窗口中選擇[compile]/[Compile]命令,彈出[compile Source
Files],在[Library]的下拉列表中選擇「simprims_ver」在[查找范圍]中選中[Xilinx/veriog/src/simprims]目錄下的全部文件,單擊complie進行編譯。(這時可能會花你一些時間,耐心等待編譯完畢)用同樣的方法將unisims和Xilinxcorelib三個模擬庫進行編譯。
這時在D:/modelsim/library 下就有以上三個模擬庫。
7.總結步驟為a:建立庫的放置路徑b:對庫進行編譯c:對庫進行映射。最後重新啟動Modelsim可以在列表中看到建立的三個庫。
那麼這個辦法明顯是比較麻煩的。其實我們可以這樣做;
首先將modelsim.ini文件只讀模式去掉,存檔前面打對勾。
在您安裝ise的目錄下,進入到bin\nt目錄下,例如e:\ise6\bin\nt,確認有compxlib這個程序
在cmd中運行compxlib -s mti_se -f all -l all -o
e:\modeltech_6.0\xilinx_libs就可以了,e:\modeltech_6.0是我安裝modelsim的目錄,您可以作相應的更改。參數也可以按照您的要求作相應的更改。
這樣就可以了。
需要注意的是,千萬記住ise和modelsim的安裝目錄都不要出現空格,最好是直接安裝在根目錄下。
具體單獨用modelsim進行behavioral
model的方法是,打開modelsim,把你的代碼和模擬代碼文件加入,別忘了加入glbl.v文件。在哪裡?自己找吧。然後編譯,work庫這些設置好後,命令行(modelsim里的)輸入
vsim -L Xilinxcorelib_ver -L unisims_ver -L simprims_ver -libwork$yourtestname glbl就可以了
我自己發現的更簡便的編譯方法,bin\nt
文件夾下有一個compxlibgui.exe程序,直接運行,後邊的步驟很傻瓜,試試就明白了:)
❹ 新手求教xilinx ISE10.1編譯問題
是下載線是USB的還是並口的? 若是USB的,如果開發板和下載線都沒問題,下載配置也沒問題,則可能是USB驅動的問題,如果剛裝過其他版本的ISE則可能導致上述問題,最簡單的方法就是卸載後重裝ISE。 還有可能是開發板上的跳線沒搞對,下載模式的問題
❺ 如何編譯xilinx模擬庫
首先介紹一下Xilinx幾個主要的模擬庫(路徑:D:\Xilinx\11.1\ISE\verilog\src\)
Unsim文件夾:Library of Unified component simulation models。僅用來做功能模擬,包括了Xilinx公司全部的標准元件。每個元件使用一個獨立的文件,這樣是為了方便一些特殊的編譯向導指令,如`uselib等。
XilinxCoreLib: CORE Generator HDL Library model。僅用來做功能模擬,包括了使用Xilinx Core Generator工具產生的IP模擬模型,例如FIFO等。
SIMPRIM: Library of generic simulation primitives。用來做時序模擬或者門級功能模擬。
SmartModel:用來模擬非常復雜的一些FPGA設計,其中用到了Power PC或者RocketIO等。
我們一般只用其中的三個庫:simprims,unisims,xilinxcorelib。
編譯Xilinx模擬庫有多種方法,比如,可以在ISE軟體中編譯xilinx模擬庫,這樣在ISE調用Modelsim進行模擬了。但是利用ISE調用Modelsim模擬雖然操作方便,但是每次模擬前都要先進行綜合,這樣會很費時間,如果單獨用Modelsim進行模擬,則可以不用進行綜合而直接進行功能模擬。不進行綜合就模擬的結果是可能本來的設計就是不可綜合的。但是只要按照可綜合的代碼風格進行設計一般不會出現這中問題。這樣做的好處是節省了綜合需要耗費的時間,所以下面主要介紹直接利用Modelsim編譯Xilinx庫,並進行模擬的流程。
Step1:在Modelsim的安裝路徑下建立一個文件夾,用來存儲編譯後的庫文件。
Step2:打開Modelsim,更改路徑為xilinx_lib
Step3:新建一個庫,命名為xilinx_unisims,用來存放unisims庫編譯後的文件。
Step4:將unisims庫文件編譯到xilinx_unisims庫中。選擇Compile
在Library選擇剛創建的xilinx_unsims庫,查找范圍為D:\Xilinx\11.1\ISE\verilog\src\unisims,然後全選所有文件,點擊右下角Compile進行編譯
編譯完成後可以看到unisims庫的文件都被編譯到xilinx_unsims庫中去了。
Step5:按照Step4的方法創建xilinx_corelib和xilinx_simprims兩個庫,分別將XilinxCoreLib和simprims文件夾的文件編譯到這兩個庫中去。編譯完成後可以看到Library中多出了剛才創建的三個庫。
Step6:在安裝目錄下找到modelsim.ini文件,關掉它的只讀屬性,並添加以下三個語句,將這三個庫添加到默認庫文件中去。
添加完成後,保存,並把modelsim.ini改為只讀。
這樣以後再次打開Modelsim以後就可以看到Library中多出了剛才創建的三個庫。
❻ 怎麼用eclipse編譯c語言的程序
安裝CDT插件後,eclipse就可以編譯運行C、C++系統。
安裝CDT的步驟:
1、 安裝eclipse
2、啟動eclipse,點擊Help菜單
3、選擇Install new software菜單項
4、點擊Work with:all available sites
5、搜索cdt,選擇其中一款cdt,例如:cdt for Visual C++ support
6、點擊確定即可
❼ ise怎樣編譯
寫好項目,文件之後,在左側的Sources 點擊主文件,下框自動顯示Processes,請點擊Implement Design的 「+」 , 再右擊 Implement Design, 選擇 Run, Rerun 或者 Run All 即可!(參考版本Ise-V10.1)
❽ Xilinx ISE多工程文件的原理圖編譯
一個topmole文件把子文件給串聯起來,格式如下:
top mule:
------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity huo_3 is --3輸入或門
port(a,b,c:in std_logic;
d:out std_logic);
end entity;
architecture art of huo_3 is
component huo is --對應將2輸入或門的實體寫進去,port裡面一個字母都不能差
port(a,b:in std_logic;
c:out std_logic);
end component;
signal ab:std_logic;
begin
u1:huo port map(a=>a,b=>b,c=>ab); --對應將兩個模塊的埠連接起來,
u2:huo port map(a=>ab,b=>c,c=>d);
end art;
--------------------------------
子模塊:
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity huo is
port(a,b:in std_logic;
c:out std_logic);
end entity;
architecture art of huo is
begin
c<=a or b;
end art;
❾ ISE綜合後模擬如何操作
第一步:用modelsim編譯xilinx的庫,並添加;
第二步:打開ISE,edit——>preference,在第三方模擬工具里添加你安裝modelsim的目錄;
第三步:打開你要模擬的ISE工程,在「source」窗口上面有一個下拉菜單,菜單有「behavioral simulation」以及「post synthesis simulation」等,選最後一個
第四步:現在在source窗口,你應該能夠看到你寫的測試激勵文件;單擊選中該文件,在process的窗口應該能看到modelsim的圖標及模擬選項
第五步:雙擊process窗口的modesim圖標,即開始調用modelsim進行模擬!
手頭這台電腦沒裝ISE,憑記憶寫了這么多,希望能有幫助;
❿ 程序寫好後怎麼編譯
把配置管理器選擇為Release,然後選擇菜單--生成--生成xxx(或者直接點擊綠色小箭頭運行下)就已經生成exe程序了,在bin/release文件夾下。讓你選擇release是把程序編譯成發布版,vs會自動優化代碼。如果是debug則不會。