ise編譯
Ⅰ 自己在ise上編譯程序,結果放到basys2開發板上,輸出的信號與顯示的信號不一致,為什麼
在工程中雙擊相應的模塊,自動進入設置界面啊。
Ⅱ ISE14.7編譯不能生成bit文件,求大神解答
你的lisence只能綜合,沒有生成*.bit文件的許可權,去官網申請一個或者找破解版。
Ⅲ Xilinx ISE多工程文件的原理圖編譯
一個topmole文件把子文件給串聯起來,格式如下:
top mule:
------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity huo_3 is --3輸入或門
port(a,b,c:in std_logic;
d:out std_logic);
end entity;
architecture art of huo_3 is
component huo is --對應將2輸入或門的實體寫進去,port裡面一個字母都不能差
port(a,b:in std_logic;
c:out std_logic);
end component;
signal ab:std_logic;
begin
u1:huo port map(a=>a,b=>b,c=>ab); --對應將兩個模塊的埠連接起來,
u2:huo port map(a=>ab,b=>c,c=>d);
end art;
--------------------------------
子模塊:
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity huo is
port(a,b:in std_logic;
c:out std_logic);
end entity;
architecture art of huo is
begin
c<=a or b;
end art;
Ⅳ 哪位能告訴下在ise 中編譯 modelsim 模擬庫的設置步驟嗎,謝謝
開始->程序->xilinx *.*->ise->tools->simulation library ***(全名忘了)
打開那玩意,裡面一步一步的提示很清楚的。
編譯的話最好針對性選幾項不要全選,否則會很慢的。
編好後在ise的project裡面右鍵你的project進入project properties里,把模擬程序設定為modelsim
手邊沒環境,實驗室不能上網~~只好這樣語焉不詳了
Ⅳ Xilinx ISE 編譯時,place & route 很慢.
個人看法,有兩種可能:
1. 你的工程佔用資源較多,隨著資源的消耗,如果工程很大,ISE需要反復將之前布線好的部分進行優化,以騰出空間給後面的邏輯,所以越到後來布通所花費的時間就越長;
2. 你的約束中有較為苛刻或是不合理的時序約束,ISE需要花大量的優化計算去滿足你的約束。
歡迎討論。
Ⅵ ise編譯完成生成沒成功
系統bug。ise編譯是一款編寫代碼軟體,該軟體在編譯完成後出現沒成功提示,是系統bug的原因,只需要將該軟體關閉後重新打開即可。
Ⅶ ISE和Modelsim編譯模擬庫的時候 到12%左右就出現這些問題了,下邊還有好多類是的問題,煩死了!求助啊!
project載入有問題,似乎還有語法問題,可以現在modelsim中編譯檢查,成功之後再從xilinx ise中調用modelsim進行模擬
Ⅷ 如何提高ISE的編譯速度
如果你的cpu夠強你應該學會如何利用好它來加速你的代碼編譯速度,那麼你怎麼才能夠最大限度讓你的cpu發燒呢?
下面是一個對比:
比如我的cpu是i7 3770k,
編譯cocos2d-x的libcocos2d工程:
不優化:
1>Time Elapsed 00:01:35.25
優化後:
1>Time Elapsed 00:00:21.66
效果顯著!!!
參考網頁:
Visual Studio 2010中C++並行構建調優(1)
http://developer.51cto.com/art/201003/189235.htm
1>cl : Command line warning D9030: '/Gm' is incompatible with multiprocessing; ignoring /MP switch
解決辦法是:
Properties -> Configuration Properties -> C/C++ -> Code Generation -> Enable Minimal Rebuild -> No(/Gm-)
Properties -> Configuration Properties -> C/C++ -> Geneal -> Multi-processor Compilation -> Yes(/MP)
一些含義和拓展資料:
Enable minimal rebuild
通過保存關聯信息到.IDB文件,使編譯器只對最新類定義改動過的源文件進行重編譯,提高編譯速度
Enable Incremental Compilation
同樣通過.IDB文件保存的信息,只重編譯最新改動過的函數
/MP (Build with Multiple Processes)
http://msdn.microsoft.com/en-us/library/bb385193.aspx
/Gm (Enable Minimal Rebuild)
http://msdn.microsoft.com/en-us/library/kfz8ad09.aspx
Ⅸ xilinx ISE編譯出錯 out of date
先減少代碼。直到不出現錯誤,然後增加代碼,直到出現錯誤。先定位。
Ⅹ ise怎樣編譯
寫好項目,文件之後,在左側的Sources 點擊主文件,下框自動顯示Processes,請點擊Implement Design的 「+」 , 再右擊 Implement Design, 選擇 Run, Rerun 或者 Run All 即可!(參考版本Ise-V10.1)