當前位置:首頁 » 編程軟體 » fpga現場編譯有什麼用

fpga現場編譯有什麼用

發布時間: 2022-05-16 01:27:12

A. FPGA為什麼能實現可編程 急在線等

FPGA採用了邏輯單元陣列LCA(Logic Cell Array)這樣一個概念,內部包括可配置邏輯模塊CLB(Configurable Logic Block)、輸出輸入模塊IOB(Input Output Block)和內部連線(Interconnect)三個部分。CLB包含一個可配置開關矩陣,此矩陣有選型電路(多路復用器),觸發器和4活6個輸入組成。在Xilinx公司的FPGA器件中,CLB由多個(一般為4個或2個)相同的slice和附加邏輯構成。每個CLB模塊不僅可以用於實現組合邏輯和時序邏輯,還可以配置為分布式RAM和分布式ROM. 通過(vhdl verilog)程序的編譯綜合下載到fpga板子上,實現你需要的clb ibo interconnect 的配置。這就是fpga可編程的解釋

B. 在FPGA開發過程中,編程與配置這兩個操作有什麼區別

它是作為專用集成電路(ASIC)領域中的一種半定製電路而出現的,既解決了定製電路的不足,又克服了原有可編程器件門電路數有限的缺點。FPGA採用了邏輯單元陣列LCA(LogicCellArray)這樣一個新概念,內部包括可配置邏輯模塊CLB(ConfigurableLogicBlock)、輸出輸入模塊IOB(InputOutputBlock)和內部連線(Interconnect)三個部分。FPGA的基本特點主要有:1)採用FPGA設計ASIC電路,用戶不需要投片生產,就能得到合用的晶元。2)FPGA可做其它全定製或半定製ASIC電路的中試樣片。3)FPGA內部有豐富的觸發器和I/O引腳。4)FPGA是ASIC電路中設計周期最短、開發費用最低、風險最小的器件之一。5)FPGA採用高速CHMOS工藝,功耗低,可以與CMOS、TTL電平兼容。可以說,FPGA晶元是小批量系統提高系統集成度、可靠性的最佳選擇之一。目前FPGA的品種很多,有XILINX的XC系列、TI公司的TPC系列、ALTERA公司的FIEX系列等。FPGA是由存放在片內RAM中的程序來設置其工作狀態的,因此,工作時需要對片內的RAM進行編程。用戶可以根據不同的配置模式,採用不同的編程方式。加電時,FPGA晶元將EPROM中數據讀入片內編程RAM中,配置完成後,FPGA進入工作狀態。掉電後,FPGA恢復成白片,內部邏輯關系消失,因此,FPGA能夠反復使用。FPGA的編程無須專用的FPGA編程器,只須用通用的EPROM、PROM編程器即可。當需要修改FPGA功能時,只需換一片EPROM即可。這樣,同一片FPGA,不同的編程數據,可以產生不同的電路功能。因此,FPGA的使用非常靈活。FPGA有多種配置模式:並行主模式為一片FPGA加一片EPROM的方式;主從模式可以支持一片PROM編程多片FPGA;串列模式可以採用串列PROM編程FPGA;外設模式可以將FPGA作為微處理器的外設,由微處理器對其編程。以各種類型的FPGA晶元加上實驗開發需要的外圍通用電路,結合實驗程序,就形成FPGA開發板,可以高效快速學習FPGA開發。ASIC()是專用集成電路。目前,在集成電路界ASIC被認為是一種為專門目的而設計的集成電路。是指應特定用戶要求和特定電子系統的需要而設計、製造的集成電路。ASIC的特點是面向特定用戶的需求,ASIC在批量生產時與通用集成電路相比具有體積更小、功耗更低、可靠性提高、性能提高、保密性增強、成本降低等優點。ASIC分為全定製和半定製。全定製設計需要設計者完成所有電路的設計,因此需要大量人力物力,靈活性好但開發效率低下。如果設計較為理想,全定製能夠比半定製的ASIC晶元運行速度更快。半定製使用庫里的標准邏輯單元(StandardCell),設計時可以從標准邏輯單元庫中選擇SSI(門電路)、MSI(如加法器、比較器等)、數據通路(如ALU、存儲器、匯流排等)、存儲器甚至系統級模塊(如乘法器、微控制器等)和IP核,這些邏輯單元已經布局完畢,而且設計得較為可靠,設計者可以較方便地完成系統設計。現代ASIC常包含整個32-bit處理器,類似ROM、RAM、EEPROM、Flash的存儲單元和其他模塊.這樣的ASIC常被稱為SoC(片上系統)。FPGA是ASIC的近親,一般通過原理圖、VHDL對數字系統建模,運用EDA軟體模擬、綜合,生成基於一些標准庫的網路表,配置到晶元即可使用。它與ASIC的區別是用戶不需要介入晶元的布局布線和工藝問題,而且可以隨時改變其邏輯功能,使用靈活。FPGA(現場可編程門陣列)是專用集成電路(ASIC)中集成度最高的一種,用戶可對FPGA內部的邏輯模塊和I/O模塊重新配置,以實現用戶的邏輯,因而也被用於對CPU的模擬。用戶對FPGA的編程數據放在Flash晶元中,通過上電載入到FPGA中,對其進行初始化。也可在線對其編程,實現系統在線重構,這一特性可以構建一個根據計算任務不同而實時定製的CPU,這是當今研究的熱門領域。

C. 什麼是FPGA技術

CPLD/FPGA技術及電子設計自動化(EDA)

電子設計自動化(EDA)的實現是與CPLD/FPGA技術的迅速發展息息相關的。CPLD/FPGA是80年代中後期出現的,其特點是具有用戶可編程的特性。利用PLD/FPGA,電子系統設計工程師可以在實驗室中設計出專用IC,實現系統的集成,從而大大縮短了產品開發、上市的時間,降低了開發成本。此外,CPLD/FPGA還具有靜態可重復編程或在線動態重構特性,使硬體的功能可象軟體一樣通過編程來修改,不僅使設計修改和產品升級變得十分方便,而且極大地提高了電子系統的靈活性和通用能力。
電路設計工程師設計一個電路首先要確定線路,然後進行軟體模擬及優化,以確認所設計電路的功能及性能。然而隨著電路規模的不斷增大,工作頻率的不斷提高,將會給電路引入許多分布參數的影響,而這些影響用軟體模擬的方法較難反映出來,所以有必要做硬體模擬。FPGA/CPLD就可以實現硬體模擬以做成模型機。將軟體模擬後的線路經一定處理後下載到FPGA/CPLD,就可容易地得到一個模型機,從該模型機,設計者就能直觀地測試其邏輯功能及性能指標。
由西安達泰公司設計的智能電子自動化實驗系統Smart EDA Lab V4.0,充分利用PLD 技術,使電子設計實現自動化,使用方便、快捷,適合於電子工程師開發設計新產品,大、中、專院校師生進行電子電路實驗,ASIC設計驗證,FPGA/CPLD教學等。
Smart EDA Lab V4.0 的硬體資源:8個邏輯指示發光條,4個按鍵開關,6個七段數碼管,555電路產生約1KHz的方波信號可作為時鍾輸入,晶振電路產生8MHz方波信號可作為高頻時鍾,PLCC84 PLCC68 PLCC44 CPLD/FPGA模擬PGA插座,DIP40單片機實驗插座,各種規格的模擬集成電路插座,PC機並口(LPT1)、串口(COM1)、ESIA匯流排插座介面,CPLD/FPGA編程插座,300平方毫米模擬電路及自由實驗區。
Smart EDA Lab V4.0 的主要特點:①繼承了V3.0的所有特點;②可以進行所有數字電路實驗、數模混和模擬、單片機實驗、計算機介面實驗、ESIA匯流排板卡實驗;③系統板上提供高、低頻的信號源和按鍵開關;④利用配套並口邏輯分析儀軟體可以同時觀察5路邏輯信號;⑤可以完成ALTERA、XINLINX、LATTICE等各家公司CPLD/FPGA晶元的在線編程。
美國Altera公司生產的CPLD(復雜可編程邏輯器件)以其操作靈活、使用方便、開發迅速、投資風險低等特點,成為硬體電路優化設計的首選產品。Altera 的 MAX+PLUSII可編程邏輯開發軟體,提供了一種與工作平台、器件結構無關的設計環境,用戶無需十分精通CPLD內部的復雜結構(視為黑匣子),只要從集成軟體包的元件庫中調入原理圖(元件庫包含幾乎所有74系列的集成電路,近300個預制宏邏輯元件),它使Altera通用PLD系列設計者能方便地進行設計輸入-文本、圖形和波形等設計輸入方法任意組合,建立起有層次的單器件或多器件設計,並支持多種標准 CAD 設計輸入,也可用硬體語言AHDL/VHDL來描述復雜的設計;快速設計處理--MAX+PLUSII編譯、設計規則檢查、邏輯綜合與試配多器件劃分,自動錯誤定位;校驗與編程--有定時模擬、功能模擬、多器件模擬、定時分析和器件編程(有萬用編程器或在線編程二種方式),還支持標准CAE設計校驗;從以上 Altera 集成軟體包(含有300多個74LS集成電路供調用)對晶元的編程、編譯、模擬操作的強大功能看,相信您一定會採用Altera公司的 CPLD 可編程邏輯器件應用到你的設計中去,使自己盡快成為一名數字集成電路設計專家。
Altera第二代產品以多陣列矩陣(MAX)結構為基礎,高性能CMOS EEPROM 可電擦除 。 MAX 7000系列器件,邏輯密度600-5000個可用門 ,36-164個用戶I/O引腳,組合傳播延時快至7.5ns,16位計數器的頻率為125MHz,可編程節能方式,每個宏單元的功率減少可達50%,有44到208個引腳;高集成度具有豐富寄存器的現場在線可編程的邏輯器件系列FLEX 8000(靈活邏輯單元矩陣),第三代更先進的EPLD MAX 9000(可擦除可編程邏輯器件)系列器件,更高密度達13萬門的 EPF10K130V PLD。
以EPM7128晶元(84 Pin)為例:其內部有2500個門可用,128個宏單元,允許對外有68個輸入、輸出引腳。凡Altera公司生產的CPLD可編程邏輯器件名稱後帶 S 的晶元,均支持在線現場可編程操作,只要用一根專用電纜接到晶元的特定引腳上,無需拆下晶元,不需要編程器及晶元適配器,通過上位機列印口就可對晶元編程。這對教學、科研樣機研製、產品維修、產品升級帶來極大的方便。美國Altera公司的MAX 7000系列器件性能穩定可靠、價格較低,對初次接觸可編程邏輯器件的用戶來說,只要您會畫電路圖就可以,這比學GAL晶元還簡單易學,一學就會,馬上就可產生經濟效益(節省器件、減小產品印製板面積、產品開發周期短、便於產品保密),目前應用最多的是與通訊有關的領域、其餘有大型顯示屏、游戲機大板改成小板、激光列印機、程式控制交換機替代門電路及原有邏輯器件較多的電子設備濃縮,工業控制板卡開發,ASIC前期模擬等。

D. FPGA和MCU到底是幹啥用的

不知你有沒有用過74系列的數字邏輯晶元,據說可以用74系列的晶元通過連線完成一CPU,CPU是由門電路構成的硬體設備,但是現在你不用在連線了,使用一片FPGA晶元,裡麵包含了很多門電路,並且連線是可以自由配置的,配置的方法就是使用verilog編程語言,或者其他硬體編程語言,注意,他寫出來的是門電路。
在說CPU,通過上面的分析FPGA通過verilog的編程可以編程一片CPU(51單片機),這么說你可能有點不懂,自己領悟,自己想一想會豁然開朗;此時我們使用C語言在對CPU進行編程(基於指令集的),兩者雖然都是編程,但是思路完全不一樣。
但是上面兩種方法,都可以完成同樣的任務,這兩者對不同的任務各有傾向,各有優勢。。。

E. fpga 編譯完成後就可以燒錄,為什麼還需要綜合

你是外行,老鐵!
FPGA流程:代碼編寫----FPGA代碼綜合(做語法檢查,將代碼轉換成門級電路網表)-----映射(或者叫適配,將通用門電路映射到相關器件的邏輯資源,例如查找表,RAM)-----布局布線(將FPGA映射結果,在FPGA內部擺出來)----生成配置文件(FPGA內部配置sram的初始值,配置查找表和其他邏輯資源,至於你不理解什麼叫配置sram值,請深入理解FPGA的構造,尤其是LUT的結構)。

F. FPGA到底是做什麼用的啊

FPGA(Field-Programmable Gate Array),即現場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎上進一步發展的產物。它是作為專用集成電路(ASIC)領域中的一種半定製電路而出現的,既解決了定製電路的不足,又克服了原有可編程器件門電路數有限的缺點。FPGA的用途如下:

  1. 電路設計:連接邏輯,控制邏輯是FPGA早期發揮作用比較大的領域也是FPGA應用的基石,這也是FPGA的一個重要作用。

  2. 產品設計:把相對成熟的技術應用到某些特定領域如通訊,視頻,信息處理等等開發出滿足行業需要並能被行業客戶接受的產品這方面主要是FPGA技術和專業技術的結合問題,另外還有就是與專業客戶的界面問題產品設計還包括專業工具類產品及民用產品,前者重點在性能,後者對價格敏感產品設計以實現產品功能為主要目的,FPGA技術是一個實現手段在這個領域,FPGA因為具備介面,控制,功能IP,內嵌CPU等特點有條件實現一個構造簡單,固化程度高,功能全面的系統產品設計將是FPGA技術應用最廣大的市場。

  3. 系統級的應用:系統級應用是FPGA與傳統的計算機技術結合,實現一種FPGA版的計算機系統如用XilinxV-4,V-5系列的FPGA,實現內嵌POWERPCCPU,然後再配合各種外圍功能,實現一個基本環境,在這個平台上跑LINUX等系統,這個系統也就支持各種標准外設和功能介面(如圖象介面)了這對於快速構成FPGA大型系統來講是很有幫助的。

G. FPGA有什麼作用

FPGA(Field-Programmable Gate Array),即現場可編程門陣列,它是在PAL、GAL、EPLD等可編程器件的基礎上進一步發展的產物。
FPGA是由存放在片內RAM中的程序來設置其工作狀態的,因此,工作時需要對片內的RAM進行編程。用戶可以根據不同的配置模式,採用不同的編程方式。

H. FPGA從事的工作是什麼啊

FPGA從事的工作主要分為硬體部分和軟體部分:硬體工程師主要根據FPGA的數據手冊分析其內部構架,工作環境及相關驅動條件來構造硬體平台,需具備良好的英語水平,深厚的模電數電功底,電路與系統、信號完整性及EMC相關知識,和精通一款制圖軟體;

FPGA軟體工程師主要負責一些相關的演算法,並以軟體代碼加以實現,你做什麼行業的產品就要掌握什麼行業的一系列專業課程,和一種編程語言(V/VHDL)-硬體描述語言。

FPGA(Field-Programmable Gate Array)是現場可編程門陣列的簡稱,簡單來說是一種邏輯數字電路設計的方法。它是作為專用集成電路(ASIC)領域中的一種半定製電路而出現的,既解決了定製電路的不足,又克服了原有可編程器件門電路數有限的缺點。

拓展資料:

FPGA

基礎問題

FPGA的基礎就是數字電路和VHDL語言,想學好FPGA的人,建議床頭都有一本數字電路的書,不管是哪個版本的,這個是基礎,多了解也有助於形成硬體設計的思想。在語言方面,建議初學者學習Verilog語言,VHDL語言語法規范嚴格,調試起來很慢,Verilog語言容易上手,而且,一般大型企業都是用Verilog語言,VHDL語言規范,易讀性強,所以一般軍工都用VHDL。

工具問題

熟悉幾個常用的就可以的,開發環境Quartus II ,或ISE 就可以了,這兩個基本是相通的,會了哪一個,另外的那個也就很Easy了。功能模擬建議使用Modelsim ,如果你是做晶元的,就可以學學別的模擬工具,做FPGA的,Modelsim就足夠了。綜合工具一般用Synplify,初學先不用太關心這個,用Quartus綜合就OK了。

思想問題

對於初學者,特別是從軟體轉過來的,設計的程序既費資源又速度慢,而且很有可能綜合不了,這就要求我們熟悉一些固定模塊的寫法,可綜合的模塊很多書上都有,語言介紹上都有,不要想當然的用軟體的思想去寫硬體。在學習FPGA開發過程,首先要對電路設計熟悉,明白電路的工作過程:電路是並行執行。

習慣問題

FPGA學習要多練習,多模擬,signaltapII是很好的工具,可以看到每個信號的真實值,建議初學者一定要自己多動手,光看書是沒用的。關於英文文檔問題,如果要學會Quartus II的所有功能,只要看它的handbook就可以了,很詳細,對於IT行業的人,大部分知識來源都是英文文檔,一定要耐心看,會從中收獲很多的。

演算法問題

做FPGA的工程師,最後一般都是專攻演算法了,這些基礎知識都是順手捏來的,如果你沒有做好搞理論的准備,學FPGA始終只能停留在初級階段上。對於初學者,數字信號處理是基礎,應該好好理解,往更深的方向,不用什麼都學,根據你以後從事的方向,比如說通信、圖像處理,雷達、聲納、導航定位等。

I. FPGA關於編譯方面的問題

由於演算法是隨機的,因此一般來說,是不確定的。
當然,如果你使用了反標注,或者分區設計之類的用法,只要你的程序不發生改變,那麼它可以保留你上次編譯的結果不變,即使再編譯也不會發生改變。
我指的程序不變,是不要進行任何修改,你說的語句順序調換了,那麼也被認為是程序發生改變,自然編譯結果也可能不同。

J. FPGA是干什麼用的

FPGA作為專用集成電路(ASIC)領域中的一種半定製電路而出現的,既解決了定製電路的不足,又克服了原有可編程器件門電路數有限的缺點。

FPGA設計不是簡單的晶元研究,主要是利用 FPGA 的模式進行其他行業產品的設計。 與 ASIC 不同,FPGA在通信行業的應用比較廣泛。

通過對全球FPGA產品市場以及相關供應商的分析,結合當前我國的實際情況以及國內領先的FPGA產品可以發現相關技術在未來的發展方向,對我國科技水平的全面提高具有非常重要的推動作用。



(10)fpga現場編譯有什麼用擴展閱讀:

工作原理

FPGA採用了邏輯單元陣列LCA(Logic Cell Array)這樣一個概念,內部包括可配置邏輯模塊CLB(Configurable Logic Block)、輸入輸出模塊IOB(Input Output Block)和內部連線(Interconnect)三個部分。

現場可編程門陣列(FPGA)是可編程器件,與傳統邏輯電路和門陣列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的結構。

FPGA利用小型查找表(16×1RAM)來實現組合邏輯,每個查找表連接到一個D觸發器的輸入端,觸發器再來驅動其他邏輯電路或驅動I/O,由此構成了既可實現組合邏輯功能又可實現時序邏輯功能的基本邏輯單元模塊,這些模塊間利用金屬連線互相連接或連接到I/O模塊。



熱點內容
sqlserverexistsin 發布:2024-04-24 13:23:13 瀏覽:320
壓縮包暴力破解器 發布:2024-04-24 13:21:46 瀏覽:279
微信對接配置要注意哪些 發布:2024-04-24 13:12:27 瀏覽:657
演算法推薦分類 發布:2024-04-24 13:11:46 瀏覽:804
思域十一代選哪個配置 發布:2024-04-24 13:11:46 瀏覽:369
iso資料庫 發布:2024-04-24 13:05:09 瀏覽:161
it的編程語言有哪些 發布:2024-04-24 13:01:05 瀏覽:613
劉殺雞錄像廳的密碼是多少 發布:2024-04-24 13:01:04 瀏覽:737
蒼天腳本 發布:2024-04-24 13:00:59 瀏覽:402
我的世界伺服器查詢ip 發布:2024-04-24 13:00:53 瀏覽:20