編程工作記錄
① 編程人員崗位職責
編程人員崗位職責(通用9篇)
在現在社會,需要使用崗位職責的場合越來越多,崗位職責是指一個崗位所需要去完成的工作內容以及應當承擔的責任范圍,職責是職務與責任的統一,由授權范圍和相應的責任兩部分組成。到底應如何制定崗位職責呢?以下是我幫大家整理的編程人員崗位職責(通用9篇),希望對大家有所幫助。
編程人員崗位職責1
職責描述:
1、負責機器人示教編程、離線編程。
2、完成項目產品工藝測試。
3、提供客戶售前、售後技術支持服務。
4、上級交辦的工作。
任職要求:
1、大專以上學歷,模具製造、數控加工、機電一體化、電氣自動化等相關專業;
2、專業知識扎實,思維活躍,邏輯性強;
3、有cnc編程或機器人編程工作經驗優先。
4、具備較強的學習能力,吃苦耐勞;
5、具有團隊合作精神,自律性強。
編程人員崗位職責2
崗位職責:
1.根據生產任務,合理編制cnc程序和cnc機台的操作,以確保模具生產進度;
2.嚴格控制cnc加工工件表面光潔度與尺寸的精確度,以保證模具的質量;
3.及時跟蹤cnc加工的模具、工件,以避免錯誤的`發生;
4.cnc銑程式及相關加工異常處理;
5.積極配合各部門的工作;
6.有良好的團隊合作精神和強烈的工作責任心;
7.執行力強,善於溝通。
任職要求:
1.中專及以上學歷,機械模具相關專業;
2.三年以上相關工作經驗;
3.熟練操作fanuc系統;
4.工作細致耐心,服從領導安排,積極配合加班;
5.有塑膠模具獨立編程經驗優先;
編程人員崗位職責3
崗位職責:
1、良好的溝通技巧和學習能力,較強的執行力;
2、有良好的團隊合作意識,能承受一定的工作壓力!
崗位描述:
1、在上級的領導和監督下定期完成量化的工作要求;
2、能獨立處理和解決所負責的任務,負責公司新產品的研發和設計;
3、根據開發進度和任務分配,完成相應模塊軟體的設計、開發、編程任務;
編程人員崗位職責4
崗位職責:
1、熱愛編程,調試和相關技術,想從事軟體開發行業工作的;
2、較強的邏輯分析和獨立解決問題能力;
3、富有團隊精神,責任感和溝通能力。
任職資格:
1、18到28周歲,大專及以上學歷優先;
2、具有較強的學習接受能力;
3、對計算機感興趣,熱愛it行業者優先;
4、有經驗直接上崗,沒有經驗的前期有人帶(可接受轉行,零基礎可培養);
5、可接受優秀應屆生。
編程人員崗位職責5
職責描述:
1、數控車床或加工中心的調試驗收
2、根據客戶產品進行車床或加工中心試加工
3、協助業務部門參加展會,展會期間進行加工演示以及與客戶進行現場技術交流
任職要求:
1、中專、技校、高中學歷及以上學歷,機械類相關專業畢業
2、從事產品編程加工5年以上的工作經驗,會ug等三維編程優先考慮。
3、懂加工中心和數控編程調試者為佳。
4、本崗位全國出差較多,公司給予出差補貼
編程人員崗位職責6
崗位要求:
1、機電一體化專業,偏電類;
2、熟練使用plc編程軟體;
3、具備相關的電力知識;
4、參加過本專業競技大賽可優先。
崗位職責:
1、入職前六個月需進入機加工熟悉設備操作和工藝流程;
2、前期負責簡單的繪圖、編程、組裝工作;
3、主要工作是負責推進公司設備自動化項目。
編程人員崗位職責7
崗位職責:
1、負責網站功能架構、前端界面、後台開發、系統架構設計、網站規劃和實施;
2、負責網站開發過程中的管理、協調和推進工作,解決各類重點問題和難點問題;
3、負責網站上線後的改進、提升頁面相應速度等後端相關工作;
4、負責網站美工和界面優化;
5、網站後台資料庫的設計及維護。
任職要求:
1、大專以上學歷,計算機相關專業,1年以上網站系統編程經驗;
2、精通網站編程開發語言,熟練運用web頁面開發技術,如html、css、javascript;
3、有獨立完成網站架構建設的項目開發成功經驗,熟悉網站架構整體運營;
4、有良好的溝通與理解能力,執行力強,有較強的團隊意識。
編程人員崗位職責8
1.負責加工中心機床的操作、維護與日常保養,熟悉加工中心組機床的性能與基本構造;
2.服從生產安排進行產品試制及批量生產,按圖紙要求對產品進行處理確保產品質量;
3.能看懂圖紙及相關工藝技術文件,協助分析,處理和解決質量問題,並提出改進方案;
4.與質檢部門積極配合,發現量具有失准現象及時通知其主管,由質檢部校對;
5.按要求填寫加工中心機床及產品的文件及資料,做好生產操作記錄、設備保養記錄;
6.完成上級委派的其他任務;
7.做好交接班工作(若有不明白之處應以書面形式交代清楚),白天領班 還需把夜班所要做的工件、工具、材料等備好;
8.嚴格遵守作業指導書及相關安全規定進行作業。 每天加工好的成品必須吹乾凈殘渣、油水等後按照標准擺放整齊;
9.嚴格的按照6s標准要求,每天將自己負責區域內的6s工作做好,保證工作場所干凈整潔、工具擺放整齊
編程人員崗位職責9
1、主要負責數控加工中心cnc編程,機床數量,分別mazak,fanuc,操作系統機床,車銑分別為四軸、六軸、七軸;
2、根據工藝員編制的工藝指導書結合圖紙進行cnc編程,對不合理的地方提出改進方案;
3、負責刀具排位,根據程序操作,選擇刀具、夾具、量具,並負責工裝額設計;
4、根據cnc標准進行工時測試,能夠核算定額工時;
5、能夠解決處理cnc加工過程中所出現的異常,從而對程序的校對;
6、負責操機加工零部件。
;② 【VB編程】如何記錄用戶上次操作的信息
最簡單的就是用txt文件記錄,把想要的數據或操作記錄下
③ 2021年程序員個人工作總結
【篇一】2021年程序員個人工作總結
來公司擔任程序員一職已一年多時間,在這一年時間里,我學到了很多東西。每個人都是在不斷的總結中成長,在不斷的審視中完善自己。在這一年裡自己也是在總結、審視中腳踏實地地完成好本職工作,現將這一年的工作總結如下:
一、思想方面燃頃
嚴格按照一個程序員應有的素養要約束自已,愛崗敬業,具有強烈的責任感和事業心,積極主動認真的學習專業知識,工作態度端正,認真負責,聽從公司的安排,積極配合_完善ERP系統,任勞任怨。
二、工作方面
熱愛自己的本職工作,能夠正確認真的對待每一項工作,工作投入,熱心為大家服務,認真遵守勞動紀律,按時上下班,有效利用工作時間,堅守崗位,需要加班完成工作按時加班加點,保證工作能按時完成。在這一年裡,我本著把工作做的更好這樣一個目標,開拓創新意識,積極圓滿的完成了以下本職工作:
1、配合_開發並完善SRM系統。
2、獨自開發_SAP日誌維護系統。
三、存在不足
一公司領導以及部門領導同事的幫助和指教,使我的工作有了很大提高,當然我還存在著很多不足之處,處理問題思路簡單,不夠成熟,工作中容易產生急躁情緒,需要更深入學習專業知識,提高自己的昌睜工作水平。
【篇二】2021年程序員個人工作總結
新的一年即將到來,回首20XX年我很榮幸的進入了公司,加入了XX游戲開發團隊,進入了一個大家庭。在公司的半年使我真正的從學生時代過度到了一個社會人,下面對自己20XX年進去公司大半年的工作情況進行總結。
一、在工作中主要存在的問題有
1、由於開始對業務需求不是很熟悉,所以了解程序開發的過程中多次出現因為需求的原因,而不斷修改返工的情況。
2、在開發中,用到了很多新的技術,由於開發時間緊促,發現的問題不能馬上解決,但是開發的過程,同時也是學習的過程,通過不斷的學習和總結,遇到的問題都得到了很好的解決。
3、在工作階段,有時比較偏向自己的工作方便,忽略了其它同事工作上的量和難易。有的功能自己怎麼方便怎麼寫。加大了其它同事的工作量,大家都需要相互配合。
二、在工作中的教訓
1.工作的條理不夠清晰,要分清主次和輕重緩急,在開發時間倉皮迅陸促的情況下,事情多了,就一定要有詳實而主次分明的計劃,那些需要立即完成,那些可以緩緩加班完成,在這方面還有很大的優化空間。
2、對流程、業務需求不夠熟悉,在工作中因為流程或業務需求的問題而不知道如何下手的情況有點多,包括錯誤與缺漏還有當時設計考慮的不到位的地方,對於這塊的控制力度顯然不夠,平時總是在開發,但說到底對業務很熟悉才是項目很好完成的前提。
3、學習的知識不夠廣泛,一個項目中,涉及的技術往往有多種,知識多了,就會靈活變通,以後我會加強這方面的學習。
4、缺乏工作經驗。
三、在工作中的收獲
1、語言知識做軟體的一種工具,更好的軟體是站在玩家的立場上用心的設計,讓用戶使用更方便、更快捷。
2、利用技術實現軟體的功能只是軟體的表面,認識問題、分析問題、解決問題才是最重要的。
3、養成總結反思的習慣,並有意識地提煉日常工作成果,在實施上認真的總結工作精英,為以後的實施工作打基礎。
4、不論是做事還是做程序,一定要有清晰的思路,要認真仔細的去做,要有耐心和信心。
四、工作計劃
1、要提高工作的主動性,做事乾脆果斷,不拖泥帶水。
2、工作要注重實效、注重結果,一切工作圍繞著目標完成。
3、要提高大局觀,是否能讓其他人的工作更順暢作為衡量工作的標尺。
4、把握一切機會提高專業能力,加強平時知識總結工作。
5、精細化工作方式的思考和實踐。
6、虛心請教優秀的同事,向他們學習技術和經驗。
其實作為一個新員工,所有的地方都是需要學習的,多聽、多看、多做、多想、多溝通,向每一位員工學習他們身上的優秀工作習慣,豐富的專業技能,配合著實際工作不斷的進步,不論在什麼環境下,我都相信這兩點:一是三人行必有我師,二是天道酬勤。
在參加工作的短短半年中,我深刻的體會到,把自己所有的精力都投入進去,技術工作都不可能做到完美程度,畢竟技術工作太過繁雜,但多付出一點,工作就會優化一些,這就需要認認真真沉下心去做事情,就是公司所提倡的企業精神:職業做事,誠信做人。
【篇三】2021年程序員個人工作總結
從我20XX年x月x日進入公司到現在已經過去一年了,從一名剛剛結束實習的學生到一名獨立的開發人員,角色改變了,職責也改變了。雖然已經預計了工作之中會有很多困難,可是在實際的項目開發中,自己所遇到遠遠不止自己想像之中的那麼簡單、單純。在開發過程中,難題一個接一個的出現,要做到滿足公司的產品需求,成為一名合格的程序開發人員,這個過程還很漫長。每天我都在勉勵自己,要好好學,好好做,以後會好的。在此同時我衷心感謝公司給我提供了學習的機會和平台,這也使我對以後的日子多了些許的信心。
一、工作回顧
在我進入公司的這一年裡,我陸續接觸了公司的gps平台,智能手錶定位,_鐵路局車站消防綜合管理系統,_金融款箱管理系統,_保衛處的管理系統,指揮中心的視頻監控系統,並在這期間為__製作了一個展示型企業網站。在剛進入公司的那段時間里,我從沒有接觸過網路攝像機,對於網路攝像機根本沒有任何概念,在公司的培養下我逐漸熟悉了各種攝像機,現在拿到_的攝像機開發包,可以很熟練的進行二次開發。在工作之餘,我也在努力的學習,和別人交流,學習先進的開發技術,請教別人開發技術問題。
二、主要問題
1、由於開始對業務需求不是很熟悉,所以在寫需求分析與了解所要開發的過程中多次出現因為需求的原因,而不斷修改、返工的情況。在同_金融交流的時候,這個問題多次困擾著我,對方的需求不明,每次交流的過程中都在變更需求,從而導致了效率比較低的問題。
2、在開發中,用到很多我所不知道或很多我知道但不太熟悉的領域,在這個領域內,我需要不斷的學習。
3、在工作階段,有時比較偏向自己的工作方便,忽略了其他同事的工作上的量與難易。有的功能自己怎麼方便怎麼寫,如今公司在不斷壯大,我們部門人員在不斷的`增加,這樣是不利於多人合作的。
4、工作的條理性不夠清晰,要分清主次和輕重緩急;在開發時間很倉促的情況下,事情多了,就一定要有詳實而主次分明的計劃,哪些需要立即完成,哪些可以緩緩加班完成,在這方面還有很大的優化空間。
5、學習的知識不夠廣泛;一個項目中,涉及的技術往往有多種,知識多了,就會靈活變通,以後我會加強這方面的學習。
三、工作心得
1、每一個項目在開始著手的第一步,一定要和客戶把需求溝通清楚,只有了解了項目的需求,才有可能真正做好一個項目。我們需要向客戶提出要求客戶提供所有涉及該項目的資料,每次與客戶見面都需要熟悉業務與程序的最少一至兩個程序員隨時記錄需求。
2、工作中,有一個無論是技術,還是經驗都比較讓人肯定的前輩帶領,將任務詳細化,詳細到,每個頁面、甚至是一個頁面中的圖片什麼時候做好,做到什麼程度,這樣把工作進度有計劃有方向的贊定下來,做事很有效率。和_,_等人合作時候一定注意要多項工作同步進行,保證每個子模塊都能同步運行。
3、每天的工作小結真的很重要,這讓我們每天都有計劃的知道自己幹了什麼,不是漫無目的的工作,所以我們應該養成,日記、周記、月記、年記的工作習慣。
4、工作並不是一成不變的,也許有一天你要去其他崗位幫忙,所以同事之間的技術要互相學習,也許有一天,公司需要你發揮其他的技能幫忙,所以互相學習也是很重要的。自己的工作不能僅僅局限於編程。
④ 數控編程員崗位職責
數控編程員崗位職責(精選14篇)
在不斷進步的時代,崗位職責使用的頻率越來越高,制定崗位職責可以減少違章行為和違章事故的發生。想必許多人都在為如何制定崗位職責而煩惱吧,以下是我精心整理的數控編程員崗位職責,僅供參考,歡迎大家閱讀。
數控編程員崗位職責 篇1
1、熟練使用UG CAD/CAM軟體,熟悉精密模具加工工藝,熟悉FANUC操作系統,熟悉機床指令代碼;
2、根據模具維修計劃,進行CNC編程,合理調用刀具及對應的進給和轉速,保證數控設備加工操作的准確與安全;
3、按照模具團隊的文書作業標准,對各項文件進行整理、存檔,確保圖紙信息的安全性;
4、協助模具技術團隊參與改善缺陷產品的模具檢修建議,提出合理性方案;
5、協助三次元測量工作,提出合理的測量方案;
6、完成上級分配的其他工作任務。
數控編程員崗位畢大職責 篇2
1、按時完成加工任務,保證所加工產品的質量和一次合格率。
2、建立標准化的作業指導書。
3、參與現場工藝改善及加工刀具的選用及申報。
4、做好本職崗位的5S,做好設備的日常點檢及日常保養。
5、負責加工中心的編程、調試及操作,負責數控車床的編程、調試及操作。
6、沒有主職崗位的工作時,服從領導的其他工作任務安排,按時完成領導交辦的其他工作事宜。
數控編程員崗位職責 篇3
1、負責模具的整個CNC製造過程中加工質量、加工效率、成本控制、出錯控制負全部責任。
2、監督CNC車間工作環境,工具整理、機台保養及維護培養操作員各項操作技能,減少不必要的錯誤,不斷提高其做事積極性,樹立起工廠是我家的理念。
3、銅公尺寸檢測(目前三台機只有一個編程,希望此項工作能由其他人來做)。
4、有新模、手板等及時訂購所需材料,並盡快出好程序以便工件盡早上機。
5、安排CNC各項工作流程,保證機床更高效率運作,積極配合各個部門各項工作。
6、不定期清點倉庫刀具、銅料等,及時申購或備用所需要的刀具、銅料等。
7、負責EDM外加工的報價及跟進。
8、用心做最好!
數控編程員崗位職責 篇4
1、每天按時上班,需穿工衣、帶廠牌等。
2、到指定工作場所認真的做好交接班工作(若有不明白之處應以書面形式交代清楚),白天領班還需把夜班所要做的工件、工具、材料等備好。服從領班安排,按照領班分配的工作任務,保證按時、按質、按量的完成各項任務。
3、按照在桐爛製品製程檢驗標准,做完的工件需在機上認真、真實的做好自檢(包括基準、光潔度、產品外形、尺寸、字碼等),檢查OK才下機並在程序單上簽名。
4、在工作時間或工作區域禁止大聲喧嘩、嬉笑等,擾亂工作秩序、影響工作或他人的行為(如串崗聊天、吃零食、玩手機、處理私人事務)
5、在操作機床時嚴禁把安全門打開操作,嚴格遵守作業指導書及相關安全規定進行作業。每天加工好的成品必須吹乾凈殘渣、油水等後按照標准擺放整齊,領班檢查後送各相關部門。
6、嚴格的按照8S標准要求,每天將自己負責區域內的8S工作做好,保證工作場所干凈整潔、工具擺放整齊。
數控編程員崗位職責 篇5
一、操作員的檢查工作:
1、做好有尺寸控制或是精度要求高的,一定要做到鑼到可以卡數時先卡數,沒有問題情況下再繼續加工(這點非常重要)。
2、做好事前上機的准備工作及檢查工作,做好加工完成的檢查工作。
3、做好當班的質量及技術工作的指導。
4、做好當班工作記錄(下班前必須做好的工作)。銅公加工完成,要做對尺寸的檢查工作,要按程序單上的編號打在銅公的'合適位置,放到指定的位置(質檢區)。另外做上記錄一件銅料的尺寸多少,內有的銅公編號及數量必須如實填報並電腦記錄。
5、加局數漏工速度的提升!在加工速度提升的前提下必須是保證質量的要求!檢查程式用的刀具是否合理!程式加工的不順暢的一定要告知程式員更改!
二、工具的管理:
a)每天換刀具由主管(組長)換領。遣失、惡意損壞工具按原價賠償。
b)工具要擺放整齊,決不能到處亂放,在哪裡拿的工具用後一定要放回原處。未經同意本部門的工具不能借給他人使用(借了還回時必須檢查)。借了他人的工具、使用後要完整無損及時歸還。請愛惜工具!每三個的月尾盤點工具一次!
c)休息或不加班時間要將工具收集一起鎖好,該浸油的要用干凈的切削液浸好。用時清干凈再用,特別是刀頭的錐形位置要經常清理!做好防銹工作。
d)操作員的更新工具要清點!並做上記錄!合理的使用工具!
三、空氣壓縮機的使用及保養:
a)每天放水2次,放水位置有:壓縮機的氣缸、空氣凈化器、空氣濾水器、機床濾水器!如果剛好是交接班時間為准備下班人員放水,放了水才下班,當班人員輪流值日!每天的放水時間如下:09:00第一次;14:00第二次;
b)每天白班值日員檢查壓縮機內的工作油是否足夠(壓縮機油工作油的標准為、油鏡圓的一半多1.5mm),是否需添加!
c)每個月縮機保養(換壓縮機油、清理過濾網)工作!
四、廢料的整理:
銅、鐵屑必須歸類;放到指定的位置。
數控編程員崗位職責 篇6
1、編制cnc加工程序(ug);
2、安排加工流程和工藝3、配合工程師改進設計與工藝候選人要求;
3、負責各類模具零件的機加工工藝按排;
4、NC和EDM用輔助工裝的設計;
5、編寫產品加工程序,保證機床運轉;
6、對操機人員進行技術指導;
7、整理相關技術文件。
數控編程員崗位職責 篇7
1、負責新產品導入,工藝開發,程序編寫,夾具開發,新產品試產跟蹤和異常處理;
2、生產流程、生產工藝優化和改善;
3、協助調機人員調機與外協工廠的技術支持和異常處理;
4、部門內部工作上的協助,跨部門溝通和相關工作的對外溝通;
5、主管安排的其他工作。
數控編程員崗位職責 篇8
崗位職責:
1、熱愛編程,調試和相關技術,想從事軟體開發行業工作的;
2、較強的邏輯分析和獨立解決問題能力;
3、富有團隊精神,責任感和溝通能力。
任職資格:
1、18到28周歲,大專及以上學歷優先;
2、具有較強的學習接受能力;
3、對計算機感興趣,熱愛it行業者優先;
4、有經驗直接上崗,沒有經驗的前期有人帶(可接受轉行,零基礎可培養);
5、可接受優秀應屆生。
數控編程員崗位職責 篇9
職責描述:
1、數控車床或加工中心的調試驗收
2、根據客戶產品進行車床或加工中心試加工
3、協助業務部門參加展會,展會期間進行加工演示以及與客戶進行現場技術交流
任職要求:
1、中專、技校、高中學歷及以上學歷,機械類相關專業畢業
2、從事產品編程加工5年以上的工作經驗,會ug等三維編程優先考慮。
3、懂加工中心和數控編程調試者為佳。
4、本崗位全國出差較多,公司給予出差補貼
數控編程員崗位職責 篇10
工作職責:
1、根據《零件工藝過程卡》、零件3d圖檔和零部件的加工要求,對零件進行cnc工時評估,工藝規劃、刀具規劃;
2、及時跟蹤、總結、分析所預估工時的准確性,為機器產能排產提供准確可靠的依據;
3、合理、及時地評估零件加工工時,確保加工進度;
4、如果在工作中發現加工不合理等現象,及時分析、總結並反饋給相關部門人員,以促進加工效率的改進和提升;
5、負責新產品、量產產品工裝、治具的製作、准備和產品換線前的工裝設備拆卸及按指定區域放置管理
6、負責新產品的開發、打樣產品的生產技術項目按時跟蹤、處理
7、與技術部門協調處理技術難題,積極提供有關方案,及時處理現場問題,負責產品加工異常問題的分析、處理
8、標准零件的標准工藝製作及程序編輯定期優化;
9、產品毛坯件尺寸的定製,備料;
10、根據產品設計合理的夾具,夾具維護及編碼,刀具管;
11、對編輯好的程序審核優化;
12、完成上級委派的其他任務,協助主管進行效率的提升和質量的改善
數控編程員崗位職責 篇11
工作職責:
1 、對cnc主管的安排的加工圖紙、和治具工藝設計要按製作要求設計,按加工工藝編寫刀路。
2 、加工前要跟蹤設備,夾具,刀具,材料是否到位,做到
3、安排cnc各項工作流程,保證機床更高效率運作,積極配合各個部門各項工作
4、隨時保持自已的工作台文件擺放整齊,干凈。
5、每天要將文件按要求日期分類,備一份到公共盤裡面。
工作規程:
1 、接到派工單後,認真了解製作要求,和審核加工圖紙。
2 、確定製作方案和加工工藝。
3 、按之前確定好的方案設計治具,或編寫加工路徑。
4 、認真檢查編好的加工程序,確認無誤後交到操機組長,由操機組長按排加工。
5 、在加工過程要經常查看加工情況,是否有異發生,發生異發應及時糾正。
數控編程員崗位職責 篇12
崗位職責:
1、負責網站功能架構、前端界面、後台開發、系統架構設計、網站規劃和實施;
2、負責網站開發過程中的管理、協調和推進工作,解決各類重點問題和難點問題;
3、負責網站上線後的改進、提升頁面相應速度等後端相關工作;
4、負責網站美工和界面優化;
5、網站後台資料庫的設計及維護。
任職要求:
1、大專以上學歷,計算機相關專業,1年以上網站系統編程經驗;
2、精通網站編程開發語言,熟練運用web頁面開發技術,如html、css、javascript;
3、有獨立完成網站架構建設的項目開發成功經驗,熟悉網站架構整體運營;
4、有良好的溝通與理解能力,執行力強,有較強的團隊意識。
數控編程員崗位職責 篇13
崗位要求:
1、機電一體化專業,偏電類;
2、熟練使用plc編程軟體;
3、具備相關的電力知識;
4、參加過本專業競技大賽可優先。
崗位職責:
1、入職前六個月需進入機加工熟悉設備操作和工藝流程;
2、前期負責簡單的繪圖、編程、組裝工作;
3、主要工作是負責推進公司設備自動化項目。
數控編程員崗位職責 篇14
職責描述:
1、負責機器人示教編程、離線編程。
2、完成項目產品工藝測試。
3、提供客戶售前、售後技術支持服務。
4、上級交辦的工作。
任職要求:
1、大專以上學歷,模具製造、數控加工、機電一體化、電氣自動化等相關專業;
2、專業知識扎實,思維活躍,邏輯性強;
3、有cnc編程或機器人編程工作經驗優先。
4、具備較強的學習能力,吃苦耐勞;
5、具有團隊合作精神,自律性強。
;⑤ 程序員用來做筆記的軟體有哪些推薦
推薦三個好用的筆記軟體給大家。
1、有道雲筆記有道雲筆記+Markdown語法。優點是手機、電腦可同步使用,而且關鍵免費。
2、visual studio code一款功能強大的記錄軟體,可裝插件。
3、DocRun 算力筆記可以展示代碼,支持交互運行代碼 c++/python/js/matlab/R。
作為一個超級愛分享,愛記錄,愛總結,外加愛記錄的程序員,真的是會經常用到一些非常好用的筆記軟體或者工具,所以,我可以給大家推薦一下,我自己是如何做筆記的,都用到了哪些軟體?
首先,我先說一下,我是脊弊一個 MBP 的忠實粉絲,所以我的電腦就是 Macbook Pro ,所以分享和推薦給大家的做筆記的軟體都是基於蘋果電腦的。(PS :蘋果電腦真的非常好用)
首先,記筆記最重要的是什麼?其實,記筆記最重要的是可以隨時隨地非常方便的把自己想記錄的內容,馬上記錄下來。那麼,Unclutter 這款軟體真的是非常的好用。非常方便的調出來做筆記,只要你點擊復制,自己生成 歷史 記錄。簡直不能太方便了。我簡單介紹一下它。
Unclutter 本身是一個多功能的隱藏菜單,裡麵包含 Clipboard(剪切板),File Storage(存儲區域),Notes(隨手記)三個功能區,當你用滑鼠或是 Trackpad 從屏幕頂部向下滑動時,Unclutter 菜單就會落下來,接下來的操作就會非常容易了。
看到了嗎?最左邊是剪切板,中間是文件存儲區,最右邊是 notes 隨手記。你只要使用復制或者剪切功能,復制文字的話,它都會自動復制到剪切板上。如果你復制的是圖片,就會自動存儲在文件存儲區。
另外,你想做的筆記和內容,可以直接在最右邊的隨手記上記錄,可以生成記錄的 歷史 列表,非常的方便。
顯示這個面板的方式非常簡單,把滑鼠放在屏幕頂部,雙指向下滑就能下拉啟動該工具;反之,雙指向上滑動則是收回工具。
Typora 這款軟體就不用我過多的介紹了吧?被稱為世界上排版最美的 MarkDown 工具。
我們隨手把自己記錄的筆記記錄下來以後,下一步該怎麼辦呢?肯定是要學習總結,整理成文章啦。整理文章,我個人最喜歡用的當然是 MarkDown 這樣輕量級的標記語言做的排版。那麼我就會用 Typora (註:這款工具也有 windows 版本)。
Typora 是一款好用極簡免費的跨平台 Markdown 編輯器,軟體使用這款軟體能夠幫助用戶輕松將文本轉換到 HTML,軟體從底層向上設計,軟體支持 markdown 的標准語法,同時這款軟體還支持動態預覽功能,一鍵預覽,讓一切都變得如此干凈、純粹,是一款不可多得的優質 markdown 編輯器。
我個人非常喜歡這款軟體,尤其是再配搭圖床工具,可以直接把圖片上傳搞定,簡直不要太方便了。
其實,我個人不太喜歡有道雲筆記,為知筆記,以及印象筆記這樣的軟體的,因為我感覺大部分使用它收藏了之後,大家可能就忘了,很少打開這樣的筆記工具去學習和看了。
我使用使用隨手記,直接在桌面上呼之欲出能夠讓我看到今天總結的東西的軟體,配合上寫作軟體,讓我效率很高。因為,我需要把筆記,及時整理成文章,然後發布在博客上,只有,把筆記整理成文章,才能轉化成自己的語言和知識,這是一個提高和學習的過程。
而不僅僅是使用各種收藏類的筆記軟體,把他們收藏了之碰鉛後,就不再管了,可能過幾天你都能把記錄的筆記都忘櫻吵族了。而我推薦的這兩款工具,是隨時都可以調出來馬上看到和使用的,尤其是 Unclutter 這款工具,在電腦上,往下呼之欲出,馬上就能看到,再學習和整理。我認為,這才是記筆記正確的方式。
這也是我學習的方式。
都2020年,全面雲時代了,還軟體,還編輯器[淚奔]。就連微軟的宇宙第一IDE都要推出web版本了,各位技術人員能不能專業點,跟進一下時代潮流!十萬阿里人都在使用的技術文檔工具「語雀」了解一下,在線記錄,不用安裝,支持技術人員常用的各種格式,免費使用,markdown文檔,代碼,腦圖,表格,uml,圖片,視頻,office等等。支持十人團隊協同編輯,實時分享,用完這個,我相信你會把你電腦上的這雲筆記那筆記軟體,各種編輯器全部卸載[靈光一閃]。什麼?在線編輯器,沒網幹不了活?!5G都來了,你告訴我你沒網,你轉行吧[大笑]
程序員做筆記的軟體?聽起來好像程序員很特殊似的。不過稍微想想,在記筆記的方面,程序員還真有一個特殊之處——他們的筆記,很多是嵌在代碼里,當做注釋寫的。
注釋之外,還有項目規劃、大腦清理、頭腦風暴、參考資料、進度追蹤之類的筆記。這些內容我用OneNote來做。因為OneNote的層級結構做得特別好,很符合理工男的邏輯思維習慣。
所以回到題目, 我的推薦是:程序相關的直接記到代碼里,思維相關的記到OneNote里。
稍微展開說幾句:
1、程序相關的筆記直接記到代碼里。
程序員要不要寫代碼注釋,這是一個爭論已久的話題。爭論的本質,其實是「相不相信自己的長期記憶力」,以及「相不相信代碼本身就是注釋」。我個人不相信長期記憶力,所以要寫注釋。但我又偏向於好的代碼,本身就是自解釋的,不需要寫注釋。
如果帶項目,考慮到團隊協作,這個爭論往往是以「寫注釋」告終的。寫程序時候的思路、坑、用到的演算法、需要注意的事情、後續改進的地方,都可以直接用注釋的形式寫到代碼里。這樣其他接手項目的程序員,可以更容易理解你的代碼。
那麼,程序員用什麼軟體寫代碼,也就是用什麼軟體做編程筆記了。我試用過很多,最後還是更喜歡Sublime Text.
2、思維相關的記到OneNote里。
其實跟項目相關的思考,大部分內容還是不方便記到代碼中的,我會記到微軟的OneNote里。
跟項目沒有關系的,只要是思考和學習內容,都可以記到OneNote里。我現在已經把OneNote作為我的文檔中心,只有在不得已的情況下,才輸出成單獨的文檔。你現在看到的這篇問答也是在OneNote里寫出來的。
在一個統一的內容管理軟體中處理文檔,簡直太方便了。定位、查找、復制、整理,統統很容易。
OneNote最大的好處,是它的多重分類層級。大致分為筆記本、分區組、分區、頁面、子頁面幾個層級。它的分類導航排列在工作區四周,本身有很強的提示功能,很容易能夠把分類做得井井有條。我個人感覺,OneNote的分類效果,遠比在資源管理器里直接用文件夾做分類好得多。
OneNote另一個殺手鐧,是多平台同步。我現在做筆記已經全天候無死角了。PC、Pad、筆記本、手機,統統自動同步。能夠隨時記筆記,可以說是筆記軟體最重要的功能了,這方面OneNote做的不錯。
對了,OneNote還是免費的,不但軟體免費,還提供25G的雲存儲空間,還有微軟出品的品質保證。有人說OneNote的雲同步太慢,我實測很久,感覺完全夠用。真的不夠用了,還有商業化的解決方案可供選擇。
3、零散的想法用訊飛的語音輸入,記到各種「快速筆記」中。
OneNote自帶一個「快速筆記」——沒有分類的筆記。你有了一個突然冒出來的想法,可以先記錄下來,以後再整理。這個快速筆記也是多平台同步的,很方便後續的加工處理。
不管何時,只要你有了想法,就可以用手機呼出快速筆記,說出你的想法,用訊飛輸入法識別成文字,記錄下來。如果希望直接記錄語音也沒問題,OneNote本身就支持。
總結一下吧:
程序猿的筆記,程序相關的,大部分記到代碼中,小部分記到OneNote中。其他筆記,都記到OneNote中,構建自己的個人知識體系。有了零散的想法,也可以通過「快速筆記」第一時間記錄下來,免得遺忘。
一句話:OneNote真是個好用的東西,即便你不是程序員,也應該考慮考慮它。
我推薦一款:Evernote(印象筆記),非常好用且功能強大的一款筆記軟體。
我推薦它有以下幾個理由:
多個設備之間同步印象筆記有PC端和移動端,電腦編輯以後,手機上可以立馬看到。平時外出需要記錄問題的時候,拿出手機就可以記錄。回到家以後打開PC端的印象筆記,立馬就可以看到通過手機記錄的內容。並且支持多個手機和多個PC之間相互同步。
這也是它最大的優點。平常我們需要寫各種技術文檔。有時候領導要求我們做成PPT給其它同事演示分享,而程序員是非常討厭做PPT。印象筆記解決了程序員這一痛點。用印象筆記寫完文檔以後,可以直接以PPT的形式演示,無需在製作額外的PPT。
印象筆記可以直接畫思維導圖,用思維導圖進行頭腦風暴或信息梳理,激發靈感,高效梳理思路。還能在導圖中與筆記巧妙聯動,讓信息串鏈起來,或是一鍵為筆記本生成思維導圖目錄,高效連結相關信息資料。
支持MarkDown語法Markdown 是一種輕量級的標記語言,用簡潔的語法代替排版,我們程序員非常喜歡使用這種語法。 其常用的標記符號不超過十個,相對於更為復雜的 HTML 標記語言來說,Markdown 十分的輕量,學習成本也不需要太多,且一旦熟悉這種語法規則,會有沉浸式編輯的效果。印象筆記Markdown支持CommonMark和GFM標准。
此外,印象筆記還支持 音頻筆記、拍照筆記、多人協作、收藏網頁和文章等功能,是團隊協作和個人工作的最佳選擇。不過,印象筆記的高級功能需要付費使用。
用過notion、印象筆記、有道雲筆記、石墨文檔、語雀。其實就兩個嚴格來說不是筆記軟體,是協同辦公。但是語雀很好用,就是語雀客戶端丑一些,但是挺好用的。目前在用語雀
為了找到合適程序員的筆記軟體,我試用過很多,如OneNote,Notion,有道雲筆記,Quiver,MWeb,語雀,GoodNotes還有Notability。
最後我的選擇 電腦端用語雀 , iPad端用Notability+備忘錄 。
OneNote 的功能非常強大,各種平台也都支持,可以說是綜合實力最強的一個了。但是對於我來說最大的問題是打開速度太慢,再加上伺服器在國外,網速也很感人,對於我來說,這點很致命,導致我還是放棄了它。
Notion 這個軟體網上評價都很好,但是一個 全選功能只能選中當前塊 讓我放棄了。
有道雲筆記 體驗基本都還不錯,但是在Markdown中插入圖片要購買會員就沒有再試了。
Quiver 和 MWeb 有些相似,本地筆記的功能夠用,也都支持Markdown格式,但是不同電腦之間同步會比較麻煩,只能用雲盤或者是自建git倉庫。
語雀 是我經過挑選之後最後選擇的,編輯器對於各種功能的支持都有,還支持團隊編輯,可以查看 歷史 版本比較差異,程序員用來建立知識庫或者是團隊文檔庫都很不錯。
由於語雀對於移動端不支持,所以在iPad上我比較了GoodNotes和Notability,最後選擇了Notability,至於選擇的原因可以看我的另一篇回答,裡面有說到。
當然,這只是我接觸體驗過的筆記軟體中的比較,僅供參考,也許還有我沒有接觸過的更好用的筆記軟體。
雲端的有免費的有道筆記,OneNote, 付費的印象筆記,為知筆記,總體來說,如果你筆記整體內容比較少的話,這四個用起來都差不多,但是如果筆記整體比較大,內容很多,超過幾千條,那免費的就最好用OneNote,付費的就用為知筆記。如果你只是在本地電腦上使用,那就推薦使用myBase,功能很強大,幾乎是不二的選擇
作為一個持續學習的程序員,筆記必不可,而我使用的筆記軟體,主要有2個,分別是印象筆記、有道雲筆記,下分別簡單介紹下,也大同小異,看個人喜好選擇了:
一、印象筆記1.支持多端雲同步
可以在ios、Android、window、Mac、ipad、web頁面等形式,編寫你的筆記
但這既是印象筆記的優點,也是印象筆記的缺點,就是超過2個端,需要支付會員,才能同步其他端。
2.操作簡單。
3.window下使用,當筆記內容過多時,軟體經常卡崩潰,之前因此棄坑。
二、有道雲筆記1.支持多端雲同步
可以在ios、Android、window、Mac、ipad、web頁面等形式,編寫你的筆記
2.界面優雅
3支持多級存儲(印象筆記只支持2級)
即你可以創建一個文件夾,文件夾下面再創建文件夾,類似的window的操作,分多級來存儲分類你的筆記。
4.大廠支持
這是互聯網大廠網易旗下的產品,有保障。
在互聯網還不發達的時代,無論是哪一行的從業人員,對於會議的介紹,知識點的整理都是習慣性的記錄在筆記本上,隨身攜帶上面記滿了密密麻麻的備忘錄,用完的記事本是一本接一本,到了近幾年隨著互聯網的發展,網上的雲端筆記也越來越多,所以選擇一款適合自己的雲筆記顯得尤為重要。
我是在14年踏入程序員這個行業,對於常用的語法還有函數是真心的記不住,但是在那個時候也沒有經驗,就在電腦上新建個記事本,把遇到的問題以及解決問題的方法記下來,我記得當時還隨身攜帶著U盤,到其他電腦上再把文件拷出來,後來就傳到360雲盤,等到換了電腦也能直接從360雲盤上下載來下。
也許很多人覺得我的這個過程很繁瑣,但這也是那幾年我最常用的一種做筆記方式,我相信也會有和我有相同經歷的小夥伴吧,不管是筆記還有常用的軟體就是保存在360雲盤上,但是過了幾年360雲盤突然宣布不再免費提供個人版,這讓我們這些個人用戶一下慌了神,在免費下載日之前著急忙慌的開始備份資料,已經不記得當時丟失了多少資料,最後隨著時間的流逝,當時做的筆記也都無從查找了,對於自己來說也是一筆損失。
那時間來到最近兩三年,我發現我身邊的同事都把伺服器的信息或者常用的賬號密碼保存的很清晰,我就問他用的是什麼軟體, 從這時開始,有道雲筆記走進了我的世界,一經使用我就對這款軟體愛不釋手。
有道雲筆記是網易旗下的一款在線保存文檔筆記的一款產品,無論是在界面的設計,還是功能的使用中,對於我來說都能夠滿足大部分的需求,我常用在電腦編輯, 每天到公司第一件事就是先登錄有道雲,因為每天有太多的資料賬號去登錄,先打開有道雲的網頁,在用到其他資料的時候就可以很清晰的找到所需信息 ,並且支持手機端APP同步,如果在外出差不方便打開電腦,在手機上做完筆記就可以一鍵保存了。
除了有道雲筆記之外,我這邊了解到的常用筆記還有 印象筆記、騰訊文檔、WPS文檔等等 ,其實都可以滿足各種做筆記的需求,最後希望大家能找到一款適合自己的筆記,有效的提高自己的工作效率。
⑥ 程序員的工作內容主要是什麼
程序員的職能:
程序員(英文Programmer)是從事程序開發、程序維護的專業人員。
一般將程序員分為程序設計人員和程序編碼人員,但兩者的界限並不非常清楚,穗伏弊特別是在中國。
軟體從業人員分為初猜族級程序員、中級程序員、高級程序員(現為軟體設計師)、系統分析員,系統架構師,測試工程師六大類。
程序的含義:
計算機程序(Computer Program),港、台譯做電腦程式。計算機程序是一組計算機能識別和執行的指令,運行於電子計算機上,滿足人們某種需求的信息化工具。
它以某些程序設計語言編寫,運行於某種目標結構體繫上。
程序就如同以英語(程序設計語言)寫作的文章,要讓一個懂得英語的人(編譯器)同時也會閱讀這篇文章的人(結構體系)來閱讀、理解、標記這篇文章。
一般以英語文本為基礎的計算機程序要經過編譯、鏈接而成為人難以解讀,但可輕易被計算機所解讀的數字格式,然後放入運行。
程序是一個指令序列。
(6)編程工作記錄擴展閱讀:
程序員的日常工作:
1、確認通過審查方案的目標,輸入數據,分析師,監事,和客戶的輸出要求的項目要求。
2、安排項目要求在編程序列分析要求;准備工作流程圖和使用計算機知識的能力,題材,編程語言和邏輯圖。
3、編碼工作流程的信息轉換成計算機語言的項目要求。
4、通過輸入編碼信息的廳備計算機程序。
5、確認程序操作進行測試,修改程序序列和/或代碼。
6、准備寫操作指令供用戶參考。
7、保持歷史記錄,通過記錄方案的制定和修訂。
8、維護客戶的信心和保護保密的業務。
9、技能/資格:一般的編程技巧,分析信息,解決問題,軟體演算法設計,軟體性能優化,注重細節,軟體設計,軟體調試,軟體開發基礎,軟體文檔,軟體測試。
⑦ EDA課程設計,用VHDL編程做計程車計費器
課程設計內容與要求
1,用開關按鍵表示脈沖,每個脈沖代表100米,10個脈沖1公里,每公里1.4元,能同步顯示里程和費用;
2,低於2公里5元計費,高於2公里總費用=起步費用+(里程-2公里)*里程單價+
等候時間*等後單價;
3,等候時間大於2分鍾,按每分鍾1.3元計費;
4,可以設定起步價和里程單價。
一、設計原理與技術方法:
包括:電路工作原理分析與原理圖、元器件選擇與參數計算、電路調試方法與結果說明;
軟體設計說明書與流程圖、軟體源程序代碼、軟體調試方法與運行結果說明。
根據設計要求,系統的輸入信號clk,計價開始信號start,等待信號stop,里程脈沖信號fin。系統的輸出信號有:總費用數C0—c3,行駛距離k0—k1,等待時間m0—m1等。系統有兩個脈沖輸入信號clk_750k,fin,其中clk_750k將根據設計要求分頻成14hz,15hz和1hz分別作為公里計費和超時計費的脈沖。兩個控制輸入開關start,stop;控制過程為:start作為計費開始的開關,當start為高電平時,系統開始根據輸入的情況計費。當有乘客上車並開始行駛時,fin脈沖到來,進行行駛計費,此時的stop需要置為0;如需停車等待,就把stop變為高電平,
並去除fin輸入脈沖,進行等待計費;當乘客下車且不等待時,直接將start置為0,系統停止工作;價格開始歸為起步價5.0元。
整個設計由分頻模塊,計量模塊,計費模塊,控制模塊和顯示模塊五個部分組成。
其中計量模塊是整個系統實現里程計數和時間計數的重要部分;控制模塊是實現不同計費方式的選擇部分,根據所設計的使能端選擇是根據里程計費還是根據等待時間計費,同時設計通過分頻模塊產生不同頻率的脈沖信號來實現系統的計費。計量模塊採用1hz的驅動信號,計費模塊採用14hz,13hz的驅動信號;計量模塊每計數一次,計量模塊就實現14次或者13次計數,即為實現計時的1.3元/min,計程時的1.4元/km的收費。組成框圖如下所示:
1.百進制模塊:
實現百米脈沖的驅動信號,元件框圖如圖3所示:
圖3 百進制模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity jin is
port(start,clk2: in std_logic; --秒脈沖
a: out std_logic_vector(3 downto 0));
end jin;
architecture rt1 of jin is
signal count_1:std_logic_vector(3 downto 0);
begin
a<=count_1;
process(start,clk2)
begin
if(start='0')then
count_1<="0000";
elsif(clk2'event and clk2='1')then
if(count_1="0111")then
count_1<="0000";
else
count_1<=count_1+'1';
end if;
end if;
end process;
end rt1
2.計費模塊
; 實現里程和等候時間的計費並輸出到顯示,元件框圖4如下:
圖4 計費模塊框圖
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --計費驅動信號
start:in std_logic; --計費開始信號
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt1 of jifei is
begin
process(clk2,start)
begin
if start='0'then c3<="0000";c2<="0000";c1<="0101";c0<="0000"; --起步價5元
elsif clk2'event and clk2='1'then
if c0="1001" then c0<="0000";
if c1="1001" then c1<="0000";
if c2="1001" then c2<="0000";
if c3="1001" then c3<="0000";
else c3<=c3+1;
end if;
else c2<=c2+1;
end if;
else c1<=c1+1;
end if;
else c0<=c0+1;
end if;
end if;
end process;
end rt1;
3.公里模塊
實現歷程的計數和輸出計費脈沖,元件框圖5如下:
圖5 公里模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity gongli is
port(clk1,start: in std_logic; --百米脈沖
k1,k2,k3,k4: out std_logic_vector(3 downto 0); --里程顯示
temp2 : out std_logic);
end gongli;
architecture rt1 of gongli is
signal count_1: std_logic_vector(3 downto 0);
signal count_2: std_logic_vector(3 downto 0);
signal count_3: std_logic_vector(3 downto 0);
signal count_4: std_logic_vector(3 downto 0);
begin
k1<=count_1;
k2<=count_2;
k3<=count_3;
k4<=count_4;
process(start,clk1)
begin
if(start='0')then
count_1<="0000";
count_2<="0000";
count_3<="0000";
count_4<="0000"; ---公里清零
elsif(clk1'event and clk1='1')then
if(count_1="1001")then --公里計數器
count_1<="0000";count_2<=count_2+1;temp2<='1';
if(count_2="1001")then
count_2<="0000";count_3<=count_3+'1';
if(count_3="1001")then
count_3<="0000";count_4<=count_4+'1';
end if;
end if;
else
count_1<=count_1+'1';temp2<='0';
end if;
end if;
end process;
end rt1;
4.輸出模塊
實現所有數據的輸出,元件框圖6如下:
圖6 輸出模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity shuchu is
port(y: in std_logic_vector(3 downto 0);
e: out std_logic_vector(6 downto 0));
end shuchu;
architecture rt1of shuchu is
begin
process
begin
case y is
when"0000"=>e<="0111111";
when"0001"=>e<="0000110";
when"0010"=>e<="1011011";
when"0011"=>e<="1001111";
when"0100"=>e<="1100110";
when"0101"=>e<="1101101";
when"0110"=>e<="1111101";
when"0111"=>e<="0000111";
when"1000"=>e<="1111111";
when"1001"=>e<="1100111";
when others=>e<="0000000";
end case;
end process;
end rt1;
5.顯示模塊
實現所有數據的顯示,元件框圖7如下:
圖7 顯示模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity xianshi is
port(start: in std_logic;
a:in std_logic_vector(3 downto 0); --選擇信號
c1,c2,c3,c4,out1,out2,out3,out4:in std_logic_vector(3 downto 0); --里程顯示,時間顯示輸入
y:out std_logic_vector(3 downto 0)); --里程顯示,時間顯示輸出
end xianshi;
architecture rt1 of xianshi is
begin
process
begin
if(start='0')then
y<="0000";
else case a is
when "0000"=> y<=c1 ;
when "0001"=> y<=c2 ;
when "0010"=> y<=c3 ;
when "0011"=> y<=c4 ;
when "0100"=> y<=out1 ;
when "0101"=> y<=out2;
when "0110"=> y<=out3 ;
when "0111"=> y<=out4;
when others =>y<= "0000";
end case;
end if;
end process;
end rt1;
6.dian模塊
圖8 dian模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity dian is
port(a: in std_logic_vector(3 downto 0);
e: out std_logic);
end dian;
architecture rt1 of dian is
begin
process
begin
case a is
when "0001"=>e<='1';
when "0101"=>e<='1';
when others=>e<='0';
end case;
end process;
end rt1;
三、中各個模塊設計分析
系統總體頂層框圖如下:
系統總體頂層框圖
程序最終功能實現波形模擬
1. 分頻模塊
由於實驗箱上沒有14hz和13hz的整數倍時鍾信號,因此採用頻率較大的750khz進行分頻,以近似得到14hz,13hz和1hz的時鍾頻率。通過以上三種不同頻率的脈沖信號實行計程車行駛,等待兩種情況下的不同計費。模塊元件如下:
分頻模塊框圖
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity fenpin is
port(clk_750k:in std_logic; --系統時鍾
clk_14:buffer std_logic; --14分頻
clk_13:buffer std_logic; --13分頻
clk_1 : buffer std_logic); --1分頻
end fenpin ;
architecture rt1 of fenpin is
signal q_14:integer range 0 to 53570; --定義中間信號量
signal q_13:integer range 0 to 57691;
signal q_1:integer range 0 to 749999;
begin
process(clk_750k)
begin
If(clk_750k' event and clk_750k='1')then
If q_14=53570 then q_14<=0;clk_14<=not clk_14;
else q_14<=q_14+1;
end if; --得14hz頻率信號
If q_13=57691 then q_13<=0;clk_13<=not clk_13;
else q_13<=q_13+1;
end if; --得13hz頻率信號
If q_1=749999 then q_1<=0;clk_1<=not clk_1;
else q_1<=q_1+1;
end if; --得1hz頻率信號
end if;
end process;
end rt1;
2. 計量模塊
計量模塊主要完成計時和計程功能。
計時部分:計算乘客的等待累積時間,當等待時間大於2min時,本模塊中en1使能信號變為1;當clk1每來一個上升沿,計時器就自增1,計時器的量程為59min,滿量程後自動歸零。
計程部分:計算乘客所行駛的公里數,當行駛里程大於2km時,本模塊中en0使能信號變為1;當clk每來一個上升沿,計程器就自增1,計程器的量程為99km,滿量程後自動歸零。
元件框圖為:
計量模塊框圖
計量模塊模擬波形為:
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity jiliang is
port(start:in std_logic; --計費開始信號
fin:in std_logic; --里程脈沖信號
stop:in std_logic; --行駛中途等待信號
clk1:in std_logic; --驅動脈沖
en1,en0:buffer std_logic; --計費單價使能信號
k1,k0:buffer std_logic_vector(3 downto 0); --行駛公里計數
m1,m0:buffer std_logic_vector(3 downto 0)); --等待時間計數
end jiliang;
architecture rt2 of jiliang is
signal w:integer range 0 to 59; --計時范圍0~59
begin
process(clk1)
begin
if(clk1'event and clk1='1')then
if start='0' then
w<=0;en1<='0';en0<='0';m1<="0000";
m0<="0000";k1<="0000";k0<="0000";
elsif stop='1' then --計時開始信號
if w=59 then
w<=0;
else w<=w+1;
end if;
if m0="1001" then
m0<="0000";
if m1="0101" then
m1<="0000";
else m1<=m1+1;
end if;
else m0<=m0+1;
end if;
if stop='1' then en0<='0';
if m1&m0>"00000001" then en1<='1'; --若等待時間大於2min則en1置1
else en1<='0';
end if;
end if;
elsif fin='1' then --里程計數開始
if k0="1001" then k0<="0000";
if k1="1001" then k1<="0000"; --計程范圍0~99
else k1<=k1+1;
end if;
else k0<=k0+1;
end if;
if stop='0' then
en1<='0';
if k1&k0>"00000001" then
en0<='1'; --若行使里程大於2km,則en0置1
else en0<='0';
end if;
end if;
end if;
end if;
end process;
end rt2;
3. 控制模塊
本模塊主要是通過計量模塊產生的兩個不同的輸入使能信號en0,en1,對每個分頻模塊輸出的14hz,13hz的脈沖進行選擇輸出的過程;本模塊實現了雙脈沖的二選一;最終目的為了計費模塊中對行駛過程中不同的時段進行計價。
模塊元件如下:
控制模塊框圖
控制模塊模擬波形為:
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity kong is
port(en0,en1:in std_logic; --使能選擇信號
clk_in1:in std_logic; --14分頻輸入信號
clk_in2:in std_logic; --13分頻輸入信號
clk_out:out std_logic); --輸出信號
end kong;
architecture rt3 of kong is
begin
process(en0,en1)
begin
if en0='1' then --實現二選一功能
clk_out<=clk_in1;
elsif en1='1' then
clk_out<=clk_in2;
end if;
end process;
end rt3;
4.計費模塊
當計費信號start一直處於高電平即計費狀態時,本模塊根據控制模塊選擇出的信號從而對不同的單價時段進行計費。即行程在2km內,而且等待累計時間小於2min則為起步價5元;2km外以每公里1.4.元計費,等待累積時間超過2min則按每分鍾1.3元計費。c0,c1,c2,c3分別表示費用的顯示。
模塊元件為:
計費模塊框圖
計費模塊模擬波形為:
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --計費驅動信號
start:in std_logic; --計費開始信號
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt4 of jifei is
begin
process(clk2,start)
begin
if start='0'then c3<="0000";c2<="0000";c1<="0101";c0<="0000"; --起步價5元
elsif clk2'event and clk2='1'then
if c0="1001" then c0<="0000";
if c1="1001" then c1<="0000";
if c2="1001" then c2<="0000";
if c3="1001" then c3<="0000"; --計價范圍0~999.9
else c3<=c3+1;
end if;
else c2<=c2+1;
end if;
else c1<=c1+1;
end if;
else c0<=c0+1;
end if;
end if;
end process;
end rt4;
5.顯示模塊
顯示模塊完成計價,計時和計程數據顯示。計費數據送入顯示模塊進行解碼,最後送至以百元,十元,元,角為單位對應的數碼管上顯示。計時數據送入顯示模塊進行解碼,最後送至以分為單位對應的數碼管上顯示。計程數據送入顯示模塊進行解碼,最後送至以km為單位的數碼管上顯示。
模塊元件為:
顯示模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all; --定義庫包
entity xianshi is --定義實體
port(
clk_scan:in std_logic; --掃描時鍾信號埠設置
c3,c2,c1,c0:in std_logic_vector(3 downto 0); --總費用輸入埠
k0,k1:in std_logic_vector(3 downto 0); --里程輸入埠
m0,m1:in std_logic_vector(3 downto 0); --等待時間輸入埠
sel:out std_logic_vector(2 downto 0); --控制數碼管位選信號的掃描信號輸出埠
led:out std_logic_vector(6 downto 0); --數碼管的控制埠
led_dp:out std_logic --數碼管的小數點輸出埠
);
end xianshi;
architecture rt5 of xianshi is
signal an:std_logic_vector(6 downto 0); --數碼顯示管中間變數
signal shuju:std_logic_vector(3 downto 0); --選擇輸入端的中間變數
signal cnt:std_logic_vector(2 downto 0); --控制數碼管的中間變數
signal xiaodian:std_logic; --小數點的中間變數
begin
process(clk_scan) --開始進程
begin
if clk_scan'event and clk_scan='1' then
cnt<=cnt+1; --每有一個掃描信號上升沿實現加1掃描
end if;
end process; --結束進程
process(cnt) --開始進程(選擇掃描顯示數碼管)
begin
case cnt is --掃描時給每個數碼管賦值
when "000"=>shuju<=c0;
when "001"=>shuju<=c1;
when "010"=>shuju<=c2;
when "011"=>shuju<=c3;
when "100"=>shuju<=k0;
when "101"=>shuju<=k1;
when "110"=>shuju<=m0;
when "111"=>shuju<=m1;
when others=> null;
end case;
if (cnt="001" or cnt="110")
then xiaodian<='1'; --在里程和總費用的個位處顯示小數點
else xiaodian<='0';
end if;
end process; --結束進程
process(shuju) --開始進程(解碼顯示)
begin
case shuju is
when "0000"=>an<="0111111"; --0
when "0001"=>an<="0000110"; --1
when "0010"=>an<="1011011"; --2
when "0011"=>an<="1001111"; --3
when "0100"=>an<="1100110"; --4
when "0101"=>an<="1101101"; --5
when "0110"=>an<="1111101"; --6
when "0111"=>an<="0000111"; --7
when "1000"=>an<="1111111"; --8
when "1001"=>an<="1101111"; --9
when others=>null;
end case;
end process;
sel<=cnt;
led<=an;
led_dp<=xiaodian;
end rt5;
二、課程設計工作記錄:
包括:設計步驟與時間安排、調試步驟與時間安排、課題完成結果說明
2.課題完成結果說明:
此計費器能實現起步價是5元;實現實驗要求的1公里計費一次單價,行駛公里大於2km時每公里按1.4元計費並能顯示里程和總共的費用。當行駛了6公里,等待了4分鍾時,費用顯示為15.8元。與計算公式總費用=起步費用+(里程-2公里)*里程單價+等候時間*等後單價;即15.8=5+(6-2)*1.4+4*1.3。實驗結果與理論結果完全一致,實驗設計成功。